Fast and Reliable STT-MRAM Using Nonuniform and Adaptive Error Detecting and Correcting Scheme

Spin-transfer torque magnetic random access memory (STT-MRAM) is an emerging nonvolatile memory technology and a potential candidate to replace CMOS-based on-chip memories. However, the bit-cell switching behavior is stochastic, which is further exacerbated due to the temperature and the process variation (PV) effects, leading to reliability failures. The conventional solution to mitigate such errors is to define the write margin based on the worst case conditions, however, this results in an excessive margin that prevents the usage of STT-MRAM in fast memories. This paper proposes to significantly reduce the write margin of STT-MRAM with no impact on the read latency, which is achieved by clustering the cache lines based on the manufactured STT-MRAM parameters and then exploiting the proposed opportunistic write approach (i.e., terminating the write process before all bit switchings are completed). This approach is supported by a novel Lazy error correcting code (Lazy-ECC), which is based on the fact that error detection is much faster than correction. Hence, the errors can be detected quickly and all erroneous data can be reverted before they arrive critical parts of the system (e.g., commit stage or memory ports). A nonuniform adaptive ECC approach to manage PV and temperature-dependent retention and read disturb failures at runtime has also been proposed. The proposed approach enables a reliable use of STT-MRAM technology for fast cache applications.

[1]  Sachin S. Sapatnekar,et al.  Improving STT-MRAM density through multibit error correction , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Xuanyao Fong,et al.  Bit-Cell Level Optimization for Non-volatile Memories Using Magnetic Tunnel Junctions and Spin-Transfer Torque Switching , 2012, IEEE Transactions on Nanotechnology.

[3]  Kiyoung Choi,et al.  DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

[4]  Mehdi B. Tahoori,et al.  VAET-STT: Variation Aware STT-MRAM Analysis and Design Space Exploration Tool , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Kaushik Roy,et al.  AWARE (Asymmetric Write Architecture With REdundant Blocks): A High Write Speed STT-MRAM Cache Architecture , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Daisuke Suzuki,et al.  Cost-Efficient Self-Terminated Write Driver for Spin-Transfer-Torque RAM and Logic , 2014, IEEE Transactions on Magnetics.

[7]  D. Strukov,et al.  The area and latency tradeoffs of binary bit-parallel BCH decoders for prospective nanoelectronic memories , 2006, 2006 Fortieth Asilomar Conference on Signals, Systems and Computers.

[8]  S. Watts,et al.  Latest Advances and Roadmap for In-Plane and Perpendicular STT-RAM , 2011, 2011 3rd IEEE International Memory Workshop (IMW).

[9]  Mehdi Baradaran Tahoori,et al.  Parametric failure modeling and yield analysis for STT-MRAM , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[10]  Mehdi Baradaran Tahoori,et al.  A cross-layer adaptive approach for performance and power optimization in STT-MRAM , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  Avik W. Ghosh,et al.  A Quasi-Analytical Model for Energy-Delay-Reliability Tradeoff Studies During Write Operations in a Perpendicular STT-RAM Cell , 2012, IEEE Transactions on Electron Devices.

[12]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[13]  Mehdi Baradaran Tahoori,et al.  Aging-aware coding scheme for memory arrays , 2017, 2017 22nd IEEE European Test Symposium (ETS).

[14]  Mehdi Baradaran Tahoori,et al.  Self-Timed Read and Write Operations in STT-MRAM , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Mircea R. Stan,et al.  The Promise of Nanomagnetics and Spintronics for Future Logic and Universal Memory , 2010, Proceedings of the IEEE.

[16]  Youguang Zhang,et al.  Addressing the Thermal Issues of STT-MRAM From Compact Modeling to Design Techniques , 2018, IEEE Transactions on Nanotechnology.

[17]  B. Dieny,et al.  A compact model of precessional spin-transfer switching for MTJ with a perpendicular polarizer , 2012, 2012 28th International Conference on Microelectronics Proceedings.

[18]  Chaitali Chakrabarti,et al.  Enhancing the Reliability of STT-RAM through Circuit and System Level Techniques , 2012, 2012 IEEE Workshop on Signal Processing Systems.

[19]  Youguang Zhang,et al.  Reconfigurable Codesign of STT-MRAM Under Process Variations in Deeply Scaled Technology , 2015, IEEE Transactions on Electron Devices.

[20]  Mircea R. Stan,et al.  Relaxing non-volatility for fast and energy-efficient STT-RAM caches , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[21]  Swaroop Ghosh,et al.  Retention Testing Methodology for STTRAM , 2016, IEEE Design & Test.

[22]  S. Kirolos,et al.  Adaptive SRAM design for dynamic voltage scaling VLSI systems , 2007, 2007 50th Midwest Symposium on Circuits and Systems.

[23]  Bruce Jacob,et al.  Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[24]  Zheng Li,et al.  Variation-Tolerant and Disturbance-Free Sensing Circuit for Deep Nanometer STT-MRAM , 2014, IEEE Transactions on Nanotechnology.

[25]  Eugene John,et al.  A quasi-power-gated low-leakage stable SRAM cell , 2010, 2010 53rd IEEE International Midwest Symposium on Circuits and Systems.

[26]  Sparsh Mittal,et al.  A survey of architectural techniques for improving cache power efficiency , 2014, Sustain. Comput. Informatics Syst..

[27]  Hanho Lee High-speed VLSI architecture for parallel Reed-Solomon decoder , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[28]  Jun Yang,et al.  Energy reduction for STT-RAM using early write termination , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[29]  Myoungsoo Jung,et al.  Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory , 2014 .

[30]  Wenqing Wu,et al.  Multi retention level STT-RAM cache designs with a dynamic refresh scheme , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[31]  Wenqing Wu,et al.  Probabilistic design methodology to improve run-time stability and performance of STT-RAM caches , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[32]  Yiran Chen,et al.  CD-ECC: Content-dependent error correction codes for combating asymmetric nonvolatile memory operation errors , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[33]  Mahmut T. Kandemir,et al.  Leakage Current: Moore's Law Meets Static Power , 2003, Computer.

[34]  Mehdi Baradaran Tahoori,et al.  Process variation and temperature aware adaptive scrubbing for retention failures in STT-MRAM , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

[35]  Mehdi Baradaran Tahoori,et al.  Leveraging Systematic Unidirectional Error-Detecting Codes for fast STT-MRAM cache , 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).

[36]  Jaeyoung Park,et al.  Variable-energy write STT-RAM architecture with bit-wise write-completion monitoring , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[37]  Mehdi Baradaran Tahoori,et al.  Avoiding unnecessary write operations in STT-MRAM for low power implementation , 2014, Fifteenth International Symposium on Quality Electronic Design.

[38]  Mehdi Baradaran Tahoori,et al.  Opportunistic write for fast and reliable STT-MRAM , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[39]  Elena I. Vatajelu,et al.  Power-aware voltage tuning for STT-MRAM reliability , 2015, 2015 20th IEEE European Test Symposium (ETS).

[40]  Xueti Tang,et al.  Spin-transfer torque magnetic random access memory (STT-MRAM) , 2013, JETC.