A METHODOLOGY FOR HARDWARE TASKS SCHEDULING OPTIMIZED IN TIME FOR PARTIAL AND DYNAMIC RECONFIGURATION OF FPGAS
暂无分享,去创建一个
João M. P. Cardoso | Carlos Valderrama | Remy Eskinazi | M. E. de Lima | Patrícia Maciel | A. S. Filho | C. Valderrama | João MP Cardoso | M. Lima | Remy Eskinazi | P. Maciel | A. Filho
[1] Jayaram Bhasker,et al. A VHDL primer , 1995 .
[2] Luciano Lavagno,et al. Scheduling for Embedded Real-Time Systems , 1998, IEEE Des. Test Comput..
[3] William E. Burr,et al. Selecting the Advanced Encryption Standard , 2003, IEEE Secur. Priv..
[4] John W. Lockwood,et al. Implementation of a content-scanning module for an Internet firewall , 2003, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003..
[5] A. Fernandez,et al. Design of a pipelined hardware architecture for real-time neural network computations , 2002, The 2002 45th Midwest Symposium on Circuits and Systems, 2002. MWSCAS-2002..
[6] Wayne Luk,et al. Video Image Processing with the Sonic Architecture , 2000, Computer.
[7] Ingrid Verbauwhede,et al. Minimum area cost for a 30 to 70 Gbits/s AES processor , 2004, IEEE Computer Society Annual Symposium on VLSI.
[8] Ney Laert Vilar Calazans,et al. Core communication interface for FPGAs , 2002, Proceedings. 15th Symposium on Integrated Circuits and Systems Design.
[9] Christof Paar,et al. IT security project: implementation of the Advanced Encryption Standard (AES) on a smart card , 2004, International Conference on Information Technology: Coding and Computing, 2004. Proceedings. ITCC 2004..
[10] Roland Kasper,et al. Gate Level Implementation of High Speed Controllers and Filters , 2001 .
[11] Ian Robertson,et al. A design flow for partially reconfigurable hardware , 2004, TECS.
[12] Reiner W. Hartenstein,et al. A decade of reconfigurable computing: a visionary retrospective , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
[13] Scott McMillan,et al. A lightweight approach for embedded reconfiguration of FPGAs , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[14] Paul Hudak,et al. Building domain-specific embedded languages , 1996, CSUR.
[15] Robert Callan,et al. The essence of neural networks , 1998 .
[16] Ranga Vemuri,et al. Optimal temporal partitioning and synthesis for reconfigurable architectures , 1998, Proceedings Design, Automation and Test in Europe.
[17] Christof Paar,et al. A High Performance Reconfigurable Elliptic Curve Processor for GF(2m) , 2000, CHES.
[18] Andy D. Pimentel,et al. A software framework for efficient system-level performance evaluation of embedded systems , 2003, SAC '03.
[19] Fernando Morgado Dias,et al. Artificial Neural Networks Processor - A Hardware Implementation Using a FPGA , 2004, FPL.
[20] Alain Greiner,et al. A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.
[21] David D. Clark,et al. A knowledge plane for the internet , 2003, SIGCOMM '03.
[22] Jean-Luc Beuchat,et al. FPGA Implementations of the RC6 Block Cipher , 2003, FPL.
[23] Gordon B. Agnew,et al. An Implementation of Elliptic Curve Cryptosystems Over F2155 , 1993, IEEE J. Sel. Areas Commun..
[24] Farokh B. Bastani,et al. Code Parameterization for Satisfaction of QoS Requirements in Embedded Software , 2003, Engineering of Reconfigurable Systems and Algorithms.
[25] Vaughn Betz,et al. Timing-driven placement for FPGAs , 2000, FPGA '00.
[26] Michael D. Smith,et al. A high-performance microarchitecture with hardware-programmable functional units , 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.
[27] Jie Wu,et al. Small Worlds: The Dynamics of Networks between Order and Randomness , 2003 .
[28] Yuefan Deng,et al. New trends in high performance computing , 2001, Parallel Computing.
[29] Jack J. Dongarra,et al. Automated empirical optimizations of software and the ATLAS project , 2001, Parallel Comput..
[30] Richard C. Dorf,et al. Field-Programmable Gate Arrays: Reconfigurable Logic for Rapid Prototyping and Implementation of Digital Systems , 1995 .
[31] Bengt Oelmann,et al. A coding method for UVLC targeting efficient decoder architecture , 2003, 3rd International Symposium on Image and Signal Processing and Analysis, 2003. ISPA 2003. Proceedings of the.
[32] Luca Benini,et al. Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[33] Viktor K. Prasanna,et al. A methodology for synthesis of efficient intrusion detection systems on FPGAs , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[34] Ian F. Blake,et al. Elliptic curves in cryptography , 1999 .
[35] Gordon J. Pace,et al. An embedded language framework for hardware compilation , 2002 .
[36] Camel Tanougast,et al. Automated RTR temporal partitioning for reconfigurable embedded real-time system design , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[37] J.M.P. Cardoso,et al. Compilation for FPGA-based reconfigurable hardware , 2003, IEEE Design & Test of Computers.
[38] Scott Hauck,et al. The Chimaera reconfigurable functional unit , 1997, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[39] William A. Pearlman,et al. An image multiresolution representation for lossless and lossy compression , 1996, IEEE Trans. Image Process..
[40] Chris Sullivan,et al. Handel-C for co-processing & co-design of Field Programmable System on Chip , 2002 .
[41] Patrick Shen-Pei Wang,et al. A new method of color image segmentation based on intensity and hue clustering , 2000, Proceedings 15th International Conference on Pattern Recognition. ICPR-2000.
[42] Donald M. Chiarulli,et al. Reconfigurable processor employing optical channels , 1998, Other Conferences.
[43] P.M. Athanas,et al. Real-Time Image Processing on a Custom Computing Platform , 1995, Computer.
[44] Mark Stephenson,et al. Bidwidth analysis with application to silicon compilation , 2000, PLDI '00.
[45] Viktor K. Prasanna,et al. An Algorithm Designer's Workbench for Platform FPGA's , 2003, FPL.
[46] Joao Varela. Electronics and data acquisition in radiation detectors for medical imaging , 2004 .
[47] Steve Poole,et al. Granidt: Towards Gigabit Rate Network Intrusion Detection Technology , 2002, FPL.
[48] Mahmut T. Kandemir,et al. A parallel architecture for secure FPGA symmetric encryption , 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..
[49] Shawki Areibi,et al. Feasibility of Floating-Point Arithmetic in FPGA based Artificial Neural Networks , 2002 .
[50] Anthony Rowe,et al. A low cost embedded color vision system , 2002, IEEE/RSJ International Conference on Intelligent Robots and Systems.
[51] Ivo Bolsens,et al. Proceedings of the conference on Design, Automation & Test in Europe , 2000 .
[52] Pavel Zemcík. Hardware acceleration of graphics and imaging algorithms using FPGAs , 2002, SCCG '02.
[53] Irwin Kennedy. Exploiting Redundancy to Speedup Reconfiguration of an FPGA , 2003, FPL.
[54] João M. P. Cardoso,et al. Loop dissevering: a technique for temporally partitioning loops in dynamically reconfigurable computing platforms , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[55] Saman Amarasinghe,et al. The suif compiler for scalable parallel machines , 1995 .
[56] Pinaki Mazumder,et al. VLSI cell placement techniques , 1991, CSUR.
[57] T H Szymanski,et al. Field-programmable logic devices with optical input-output. , 2000, Applied optics.
[58] João M. P. Cardoso,et al. A Real Time Gesture Recognition System for Mobile Robots , 2004, ICINCO.
[59] Tzi-cker Chiueh,et al. A Cluster-based Scalable and Extensible Edge Router Architecture , 2007 .
[60] Greg Snider. Performance-constrained pipelining of software loops onto reconfigurable hardware , 2002, FPGA '02.
[61] Gordon J. Brebner,et al. Software Decelerators , 2003, FPL.
[62] Marco Platzner,et al. Online scheduling for block-partitioned reconfigurable devices , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[63] Lars Braun,et al. Scalable Application-Dependent Network on Chip Adaptivity for Dynamical Reconfigurable Real-Time Systems , 2004, FPL.
[64] André DeHon,et al. Dynamically Programmable Gate Arrays: A Step Toward Increased Computational Density , 1996 .
[65] Rudy Lauwereins,et al. Interconnection Networks Enable Fine-Grain Dynamic Multi-tasking on FPGAs , 2002, FPL.
[66] Wayne Luk,et al. Pipeline vectorization , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[67] P. Relvas,et al. Architecture and first prototype tests of the Clear-PEM electronics systems , 2004, IEEE Symposium Conference Record Nuclear Science 2004..
[68] Roseli A. Francelin Romero,et al. ARCHITECT-R: a system for reconfigurable robots design , 2003, SAC '03.
[69] Brent E. Nelson,et al. JHDLBits: The Merging of Two Worlds , 2004, FPL.
[70] Jose Mumbru,et al. Optically programmable gate array , 2000, International Topical Meeting on Optics in Computing.
[71] Kunle Olukotun,et al. The Stanford Hydra CMP , 2000, IEEE Micro.
[72] Viktor K. Prasanna,et al. MILAN: A Model Based Integrated Simulation Framework for Design of Embedded Systems , 2001, OM '01.
[73] Prashant Jain,et al. Dynamically Parameterized Algorithms and Architectures to Exploit Signal Variations , 2004, J. VLSI Signal Process..
[74] José D. P. Rolim,et al. A Comparative Study of Performance of AES Final Candidates Using FPGAs , 2000, CHES.
[75] Peter Sutton,et al. JPG - a partial bitstream generation tool to support partial reconfiguration in virtex FPGAs , 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.
[76] Kiran Bondalapati. Parallelizing DSP nested loops on reconfigurable architectures using data context switching , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[77] P. Rodrigues,et al. Geant4 applications and developments for medical physics experiments , 2004, IEEE Transactions on Nuclear Science.
[78] Stamatis Vassiliadis,et al. The MOLEN ρμ-coded processor , 2001 .
[79] Kris Gaj,et al. Fast implementations of secret-key block ciphers using mixed inner- and outer-round pipelining , 2001, FPGA '01.
[80] A. Fujimura,et al. A diagonal-interconnect architecture and its application to RISC core design , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[81] Pedro C. Diniz,et al. Coarse-grain pipelining on multiple FPGA architectures , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[82] Alok N. Choudhary,et al. A system for synthesizing optimized FPGA hardware from Matlab(R) , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[83] Hugo Thienpont,et al. An Optoelectronic 3-D Field Programmable Gate Array , 1994, FPL.
[84] S. Dewitte,et al. Lossless integer wavelet transform , 1997, IEEE Signal Processing Letters.
[85] A Au,et al. Field-programmable smart-pixel arrays: design, VLSI implementation, and applications. , 1999, Applied optics.
[86] T. Hanyu,et al. Complementary ferroelectric-capacitor logic for low-power logic-in-memory VLSI , 2003, IEEE Journal of Solid-State Circuits.
[87] Sunil P. Khatri,et al. An efficient and regular routing methodology for datapath designsusing net regularity extraction , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[88] Sharon L. Milgram,et al. The Small World Problem , 1967 .
[89] Jason Cong,et al. Optimality, scalability and stability study of partitioning and placement algorithms , 2003, ISPD '03.
[90] Sebastian Thrun,et al. A Gesture Based Interface for Human-Robot Interaction , 2000, Auton. Robots.
[91] Antonio Cañas,et al. FPGA Implemenation of Multi-layer Perceptrons for Speech Recognition , 2003, FPL.
[92] J. M. Chang,et al. Designing reusable components in VHDL , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).
[93] Martin Roesch,et al. Snort - Lightweight Intrusion Detection for Networks , 1999 .
[94] Joni Dambre,et al. Optoelectronic FPGAs , 1999 .
[95] Maya Gokhale,et al. Co-Synthesis to a Hybrid RISC/FPGA Architecture , 2000, J. VLSI Signal Process..
[96] Tadao Murata,et al. Petri nets: Properties, analysis and applications , 1989, Proc. IEEE.
[97] Norman Hendrich. A Java-based framework for simulation and teaching: Hades , 2000 .
[98] Marco Platzner,et al. Reconfigurable Hardware Operating Systems: From Design Concepts to Realizations , 2003, Engineering of Reconfigurable Systems and Algorithms.
[99] Matthew Turk,et al. Computer vision in the interface , 2004, CACM.
[100] Jan Hoogerbrugge,et al. ConCISe: a compiler-driven CPLD-based instruction set accelerator , 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).
[101] Edward A. Lee,et al. What's Ahead for Embedded Software? , 2000, Computer.
[102] Joseph D. Touch,et al. High-speed networking: a systematic approach to high-bandwidth low-latency communication , 2001, Proceedings. 12th Annual IEEE Symposium on High Performance Interconnects.
[103] Johannes Wolkerstorfer,et al. A Universal and Efficient AES Co-processor for Field Programmable Logic Arrays , 2004, FPL.
[104] Patrick Lysaght. Future design tools for platform FPGAs , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..
[105] William H. Mangione-Smith,et al. Deep packet filter with dedicated logic and read only memories , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[106] Bengt Oelmann,et al. Alternating coding for universal variable length code , 2003, Proceedings 2003 International Conference on Image Processing (Cat. No.03CH37429).
[107] Horácio C. Neto,et al. An Environment for Exploring Data-Driven Architectures , 2004, FPL.
[108] Pedro C. Diniz,et al. Compiler-generated communication for pipelined FPGA applications , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[109] Cameron D. Patterson,et al. VTSim: A Virtex-II Device Simulator , 2004, ERSA.
[110] Sorin A. Huss,et al. FPGA based hardware acceleration for elliptic curve public key cryptosystems , 2004, J. Syst. Softw..
[111] Jose Mumbru,et al. Optical memory for computing and information processing , 1999, Optics + Photonics.
[112] Stuart Swan. An introduction to system level modeling in systemc 2 , 2001 .
[113] Patrick Schaumont,et al. Hardware/software partitioning of embedded system in OCAPI-xl , 2001, Ninth International Symposium on Hardware/Software Codesign. CODES 2001 (IEEE Cat. No.01TH8571).
[114] Christoforos E. Kozyrakis,et al. A New Direction for Computer Architecture Research , 1998, Computer.
[115] Patrick Schaumont,et al. Architectural design features of a programmable high throughput AES coprocessor , 2004, International Conference on Information Technology: Coding and Computing, 2004. Proceedings. ITCC 2004..
[116] Yvon Savaria,et al. A comparison of automatic word length optimization procedures , 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).
[117] Vaughn Betz,et al. Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.
[118] Markus Weinhardt,et al. From C programs to the configure-execute model , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[119] Ralph Etienne-Cummings,et al. A Vision Chip for Color Segmentation and Pattern Matching , 2003, EURASIP J. Adv. Signal Process..
[120] Bernard Pottier,et al. A LUT based high level synthesis framework for reconfigurable architectures , 2003 .
[121] Jonathan Rose,et al. Trading quality for compile time: ultra-fast placement for FPGAs , 1999, FPGA '99.
[122] Ricardo Reis,et al. A low device occupation IP to implement Rijndael algorithm , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[123] Jürgen Becker,et al. Real-time LUT-based network topologies for dynamic and partial FPGA self-reconfiguration , 2004, Proceedings. SBCCI 2004. 17th Symposium on Integrated Circuits and Systems Design (IEEE Cat. No.04TH8784).
[124] Brad L. Hutchings,et al. JHDL-an HDL for reconfigurable systems , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).
[125] Sarma B. K. Vrudhula,et al. Hardware-software bipartitioning for dynamically reconfigurable systems , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).
[126] Tsutomu Maruyama,et al. A C to HDL compiler for pipeline processing on FPGAs , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).
[127] Daniel D. Gajski,et al. High ― Level Synthesis: Introduction to Chip and System Design , 1992 .
[128] G. Gnad,et al. A power drive control for piezoelectric actuators , 2004, 2004 IEEE International Symposium on Industrial Electronics.
[129] Rudy Lauwereins,et al. Reconfigurable instruction set processors: a survey , 2000, Proceedings 11th International Workshop on Rapid System Prototyping. RSP 2000. Shortening the Path from Specification to Prototype (Cat. No.PR00668).
[130] Jürgen Becker,et al. An FPGA run-time system for dynamical on-demand reconfiguration , 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..
[131] Rupesh S. Shelar,et al. Parameterized reusable component library methodology , 2000, Proceedings of the 26th Euromicro Conference. EUROMICRO 2000. Informatics: Inventing the Future.
[132] F. Kobayashi,et al. An optical reconfiguration circuit for optically reconfigurable gate arrays , 2004, The 2004 47th Midwest Symposium on Circuits and Systems, 2004. MWSCAS '04..
[133] Brad L. Hutchings,et al. Assisting network intrusion detection with reconfigurable hardware , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[134] John Wawrzynek,et al. Adapting software pipelining for reconfigurable computing , 2000, CASES '00.
[135] Andrew Seawright,et al. RTL c-based methodology for designing and verifying a multi-threaded processor , 2002, DAC '02.
[136] Wayne Luk,et al. PD-XML: extensible markup language for processor description , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..
[137] Minoru Watanabe,et al. A High-Density Optically Reconfigurable Gate Array Using Dynamic Method , 2004, FPL.
[138] Steven Trimberger,et al. A time-multiplexed FPGA , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).
[139] Akashi Satoh,et al. A 10 Gbps full-AES crypto design with a twisted-BDD S-Box architecture , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[140] Ralph Wittig,et al. OneChip: an FPGA processor with reconfigurable logic , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.
[141] Stephen D. Brown,et al. Incremental placement for layout-driven optimizations on FPGAs , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
[142] Dionisios N. Pnevmatikatos,et al. Pre-decoded CAMs for efficient and high-speed NIDS pattern matching , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[143] Rudy Lauwereins,et al. ADRES: An Architecture with Tightly Coupled VLIW Processor and Coarse-Grained Reconfigurable Matrix , 2003, FPL.
[144] Vicki H. Allan,et al. Software pipelining , 1995, CSUR.
[145] Christopher R. Clark,et al. Scalable pattern matching for high speed networks , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[146] Takayasu Sakurai. Issues of Current LSI Technology and an Expectation for New System-Level Integration , 2001 .
[147] Naveed A. Sherwani,et al. Algorithms for VLSI Physical Design Automation , 1999, Springer US.
[148] Pierre Marchal,et al. Field-programmable gate arrays , 1999, CACM.
[149] A. Odorico,et al. Implementing an MPEG2 Video Decoder Based on the TMS320C80 MVP , 1998 .
[150] Pedro C. Diniz,et al. Automatic synthesis of data storage and control structures for FPGA-based computing engines , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).
[151] David A. Kearney,et al. The Development of an Operating System for Reconfigurable Computing , 2001, The 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01).
[152] Brad Hutchings,et al. RRANN: a hardware implementation of the backpropagation algorithm using reconfigurable FPGAs , 1994, Proceedings of 1994 IEEE International Conference on Neural Networks (ICNN'94).
[153] Camel Tanougast,et al. Hardware partitioning software for dynamically reconfigurable SoC design , 2003, The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 2003. Proceedings..
[154] Charles R. Rosenberg,et al. Interaction With Mobile Robots in Public Places , 2000 .
[155] David Lorge Parnas,et al. Priority Scheduling Versus Pre-Run-Time Scheduling , 2004, Real-Time Systems.
[156] Alexandra Vanessa Poetter. JHDLBits: An Open-Source Model for FPGA Design Automation , 2004 .
[157] P. Lecoq,et al. Clear-PEM, a dedicated PET camera for mammography , 2002 .
[158] Ian Page,et al. Compiling occam into Field-Programmable Gate Arrays , 2001 .
[159] C. Leong,et al. Design and evaluation of the clear-PEM detector for positron emission mammography , 2004, IEEE Symposium Conference Record Nuclear Science 2004..
[160] John Wawrzynek,et al. Reconfigurable computing: what, why, and implications for design automation , 1999, DAC '99.
[161] Naveed A. Sherwani. VLSI Physical Design Automation , 1995 .
[162] Carl Ebeling,et al. RaPiD - Reconfigurable Pipelined Datapath , 1996, FPL.
[163] John Wawrzynek,et al. Garp: a MIPS processor with a reconfigurable coprocessor , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).
[164] Markus Weinhardt. Portable Pipeline Synthesis for FCCMs , 1996, FPL.
[165] John W. Lockwood,et al. Dynamic hardware plugins in an FPGA with partial run-time reconfiguration , 2002, DAC '02.
[166] Jürgen Becker,et al. Parallel and flexible multiprocessor system-on-chip for adaptive automotive applications based on Xilinx MicroBlaze soft-cores , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.