Dynamic Guaranteed Service Communication on Best-Effort Networks-on-Chip

In order to execute applications under real-time constraints on many-core processors with a Network-on-Chip (NoC), guaranteed service (GS) communication with guaranteed end-to-end latency and bandwidth is required. Several hardware-based solutions for GS communication have been proposed in literature. However, commercially available many-core processors, e.g., Tilera's Tile Pro64 or Adapt Eva's Epiphany, do not support such features. In this paper, we propose a software solution that allows GS communication on 2D-mesh packet-switching NoCs. Our investigation is based on a hardware model that is applicable to commercially available processors, which include multiple NoCs to separate request and response packets and support only best-effort communication. We prove that a common upper bound of the injection rate for all sources limits the congestion which leads to an upper bound of the worst-case transmission latency (WCTL) for any transmission, i.e., the combination of a request and a response packet. Furthermore, our approach supports arbitrary transmission streams that can be modified at runtime without violating the upper bound of the WCTL, as long as the injection rate is not violated. This enables adaptive features such as task migration or dynamic scheduling policies. Experiments evaluate our solution for different traffic patterns.

[1]  Stefan M. Petters,et al.  NoC contention analysis using a branch-and-prune algorithm , 2014, ACM Trans. Embed. Comput. Syst..

[2]  Fernando Gehm Moraes,et al.  Runtime Adaptive Circuit Switching and Flow Priority in NoC-Based MPSoCs , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Luca Benini,et al.  Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.

[4]  Wenhua Dou,et al.  Analysis of Worst-Case Delay Bounds for On-Chip Packet-Switching Networks , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Alain Greiner,et al.  A Low Cost Network-on-Chip with Guaranteed Service Well Suited to the GALS Approach , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[6]  Hermann Kopetz,et al.  Concepts of Switching in the Time-Triggered Network-on-Chip , 2008, 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

[7]  Radu Marculescu,et al.  Analysis and optimization of prediction-based flow control in networks-on-chip , 2008, TODE.

[8]  Jens Sparsø,et al.  Scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[9]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[10]  Kees G. W. Goossens,et al.  Avoiding Message-Dependent Deadlock in Network-Based Systems on Chip , 2007, VLSI Design.

[11]  Alan Burns,et al.  Real-Time Communication Analysis for On-Chip Networks with Wormhole Switching , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

[12]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[13]  Axel Jantsch,et al.  Mathematical formalisms for performance evaluation of networks-on-chip , 2013, CSUR.

[14]  Axel Jantsch,et al.  Parallel probe based dynamic connection setup in TDM NoCs , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[15]  Rached Tourki,et al.  Design and FPGA implementation of a QoS router for Networks-on-Chip , 2011, 2011 3rd International Conference on Next Generation Networks and Services (NGNS).

[16]  Mladen Berekovic,et al.  NoC Switch with Credit Based Guaranteed Service Support Qualified for GALS Systems , 2010, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools.

[17]  Srinivasan Seshan,et al.  On-chip networks from a networking perspective: congestion and scalability in many-core interconnects , 2012, CCRV.

[18]  Alain Greiner,et al.  A Generic Instruction Set Simulator API for Timed and Untimed Simulation and Debug of MP2-SoCs , 2009, 2009 IEEE/IFIP International Symposium on Rapid System Prototyping.

[19]  Gerard J. M. Smit,et al.  Providing QoS Guarantees in a NoC by Virtual Channel Reservation , 2006, ARC.

[20]  William J. Dally,et al.  Worst-case Traffic for Oblivious Routing Functions , 2002, IEEE Comput. Archit. Lett..

[21]  Fernando Gehm Moraes,et al.  Differentiated Communication Services for NoC-Based MPSoCs , 2014, IEEE Trans. Computers.

[22]  Kees Goossens,et al.  Online allocation for contention-free-routing NoCs , 2012, INA-OCMC '12.

[23]  Coniferous softwood GENERAL TERMS , 2003 .