Interconnect Testing and Test-Path Scheduling for Interposer-Based 2.5-D ICs

Interposer-based 2.5-D integrated circuits (ICs) are seen today as a first step toward the eventual industry adoption of 3-D ICs based on through-silicon vias (TSVs). The TSVs and the redistribution layer (RDL) in the silicon interposer, and micro-bumps in the assembled chip must be adequately tested for product qualification. We present an efficient interconnect-test solution that targets TSVs, RDL wires, and micro-bumps for shorts, opens, and delay faults. The proposed test technique is fully compatible with the IEEE 1149.1 Standard. To reduce test cost, we also present a test-path design and scheduling technique that minimizes a composite cost function based on test time and the design-for-test overhead in terms of additional TSVs and micro-bumps needed for test access. The locations of the dies on the interposer are taken into consideration in order to determine the order of dies in a single test path. We present simulation results to demonstrate the effectiveness of fault detection, and synthesis results to evaluate the hardware cost per die relative to the IEEE 1149.1 Standard. We also present test-path design and test-scheduling results to highlight the effectiveness of the optimization technique.

[1]  K. Saban Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity , Bandwidth , and Power Efficiency , 2009 .

[2]  Shi-Yu Huang,et al.  Delay testing and characterization of post-bond interposer wires in 2.5-D ICs , 2013, 2013 IEEE International Test Conference (ITC).

[3]  Sunil P. Khatri,et al.  A novel clock distribution and dynamic de-skewing methodology , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[4]  Shi-Yu Huang,et al.  Small delay testing for TSVs in 3-D ICs , 2012, DAC Design Automation Conference 2012.

[5]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[6]  Erik Jan Marinissen,et al.  Post-bond testing of 2.5D-SICs and 3D-SICs containing a passive silicon interposer base , 2011, 2011 IEEE International Test Conference.

[7]  T. Kurihara,et al.  Silicon interposer with TSVs (Through Silicon Vias) and fine multilayer wiring , 2008, 2008 58th Electronic Components and Technology Conference.

[8]  Krishnendu Chakrabarty,et al.  Scan-Based Testing of Post-Bond Silicon Interposer Interconnects in 2.5-D ICs , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Abhijit Chatterjee,et al.  Switching activity generation with automated BIST synthesis forperformance testing of interconnects , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Krishnendu Chakrabarty,et al.  Post-bond Testing of the Silicon Interposer and Micro-bumps in 2.5D ICs , 2013, 2013 22nd Asian Test Symposium.

[11]  R. H. Bruce,et al.  Multi Chip Modules , 1989, 26th ACM/IEEE Design Automation Conference.

[12]  Patrick Dorsey Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency , 2010 .

[13]  Erik Jan Marinissen,et al.  A set of benchmarks for modular testing of SOCs , 2002, Proceedings. International Test Conference.

[14]  B. Banijamali,et al.  Advanced reliability study of TSV interposers and interconnects for the 28nm technology FPGA , 2011, Electronic Components and Technology Conference.

[15]  Najmi T. Jarwala Designing “Dual Personality” IEEE 1149.1 Compliant Multi-Chip Modules , 1997, J. Electron. Test..

[16]  Cheng-Wen Wu,et al.  3D-IC interconnect test, diagnosis, and repair , 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

[17]  Erik Jan Marinissen Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[18]  Shi-Yu Huang,et al.  At-speed BIST for interposer wires supporting on-the-spot diagnosis , 2013, 2013 IEEE 19th International On-Line Testing Symposium (IOLTS).

[19]  T. Kurihara,et al.  A Silicon interposer BGA package with Cu-filled TSV and multi-layer Cu-plating interconnect , 2008, 2008 58th Electronic Components and Technology Conference.

[20]  Jeongho Cho,et al.  Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study , 2013, 2013 IEEE International Test Conference (ITC).

[21]  Benoit Nadeau-Dostie,et al.  An embedded technique for at-speed interconnect testing , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[22]  Paul Wagner,et al.  INTERCONNECT TESTING WITH BOUNDARY SCAN , 1987 .

[23]  King L. Tai System-in-package (SIP): challenges and opportunities , 2000, ASP-DAC '00.

[24]  Erik Jan Marinissen,et al.  Multi-visit TAMs to Reduce the Post-Bond Test Length of 2.5D-SICs with a Passive Silicon Interposer Base , 2011, 2011 Asian Test Symposium.

[25]  Krishnendu Chakrabarty,et al.  At-speed interconnect testing and test-path optimization for 2.5D ICs , 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

[26]  Kenneth E. Posse A DESIGN-FOR-TESTABILITY ARCHITECTURE FOR MULTICHIP MODULES , 1991, 1991, Proceedings. International Test Conference.