Research on Optimizing Last Level Cache Performance for Hybrid Main Memory

Hybrid main memory including DRAM and non-volatile memory (NVM) such as phase change memory (PCM) has became a perfect substitute to DRAM-based main memory. Because it has the advantage about high performance and energy-efficient in embedded systems. The effective management of last level cache is very important which can reduce cache misses and has important practical significance on the improvement of overall system performance. In last level caches, the common used cache replacement algorithm Least Recently Used (LRU) may cause cache pollution by inserting non-reusable data into the cache. In this article we research the hybrid main memory but now the existing cache policies fail to fully solve the asymmetry between the operations of NVM and DRAM. To solve these problems we mentioned above, we propose a Process-based Pollute Region Isolation (PPRI) algorithm for improving the efficiency of last level cache utilization. It is a good way to eliminate competition between reusable and nonreusable cache lines. We also propose an improved last-level cache management scheme ILRU for the hybrid main memory which improves the cache hit ratio and minimizes write-backs to PCM. Experimental results show that the proposed framework can get better performance (average improved 17.39%) and more energy saving (average decreased 12.46%) compared with the latest cache management schemes for hybrid main memory architecture.

[1]  Rami G. Melhem,et al.  Writeback-aware partitioning and replacement for last-level caches in phase change main memory systems , 2012, TACO.

[2]  Zhi Chen,et al.  Energy-Aware Data Allocation With Hybrid Memory for Mobile Cloud Systems , 2017, IEEE Systems Journal.

[3]  Ricardo Bianchini,et al.  Page placement in hybrid memory systems , 2011, ICS '11.

[4]  Keke Gai,et al.  Smart Energy-Aware Data Allocation for Heterogeneous Memory , 2016, 2016 IEEE 18th International Conference on High Performance Computing and Communications; IEEE 14th International Conference on Smart City; IEEE 2nd International Conference on Data Science and Systems (HPCC/SmartCity/DSS).

[5]  Vijayalakshmi Srinivasan,et al.  Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.

[6]  Karin Strauss,et al.  Preventing PCM banks from seizing too much power , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[7]  Tao Li,et al.  Exploring Phase Change Memory and 3D Die-Stacking for Power/Thermal Friendly, Fast and Durable Memory Architectures , 2009, 2009 18th International Conference on Parallel Architectures and Compilation Techniques.

[8]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[9]  Y.J. Song,et al.  Two-bit cell operation in diode-switch phase change memory cells with 90nm technology , 2008, 2008 Symposium on VLSI Technology.

[10]  Xi Zhang,et al.  A Read-Write Aware Replacement Policy for Phase Change Memory , 2011, APPT.

[11]  Jin Xiong,et al.  HAP: Hybrid-memory-Aware Partition in shared Last-Level Cache , 2014, ICCD.

[12]  John L. Henning SPEC CPU2006 benchmark descriptions , 2006, CARN.

[13]  Keke Gai,et al.  Energy-aware task assignment for mobile cyber-enabled applications in heterogeneous cloud computing , 2018, J. Parallel Distributed Comput..

[14]  Francisco Tirado,et al.  Write-Aware Replacement Policies for PCM-Based Systems , 2015, Comput. J..

[15]  Keke Gai,et al.  A survey on FinTech , 2018, J. Netw. Comput. Appl..

[16]  Rami G. Melhem,et al.  Increasing PCM main memory lifetime , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[17]  Keke Gai,et al.  Phase-Change Memory Optimization for Green Cloud with Genetic Algorithm , 2015, IEEE Transactions on Computers.

[18]  Matthew Poremba,et al.  NVMain: An Architectural-Level Main Memory Simulator for Emerging Non-volatile Memories , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[19]  Meikang Qiu,et al.  Three-phase time-aware energy minimization with DVFS and unrolling for Chip Multiprocessors , 2012, J. Syst. Archit..

[20]  Yuan Xie,et al.  WADE: Writeback-aware dynamic cache management for NVM-based main memory system , 2013, TACO.

[21]  Hyunjin Lee,et al.  Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[22]  Peng Li,et al.  Nonvolatile memristor memory: Device characteristics and design implications , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[23]  Keke Gai,et al.  Dynamic energy-aware cloudlet-based mobile cloud computing model for green computing , 2016, J. Netw. Comput. Appl..