A Fast Leakage-Aware Green’s-Function-Based Thermal Simulator for 3-D Chips
暂无分享,去创建一个
[1] Je-Hyoung Park,et al. Experimental validation of the power blurring method , 2010, 2010 26th Annual IEEE Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).
[2] Yi-Chung Chen,et al. The MTA: An Advanced and Versatile Thermal Simulator for Integrated Systems , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Ali Soleimani,et al. Novel Feature Selection Algorithm for Thermal Prediction Model , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] David Atienza,et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[5] Smruti R. Sarangi,et al. A fast leakage aware thermal simulator for 3D chips , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[6] Sheldon X.-D. Tan,et al. Leakage-Aware Predictive Thermal Management for Multicore Systems Using Echo State Network , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[7] Sheldon X.-D. Tan,et al. A Fast Leakage-Aware Full-Chip Transient Thermal Estimation Method , 2018, IEEE Transactions on Computers.
[8] Kevin Skadron,et al. The need for a full-chip and package thermal model for thermally optimized IC designs , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[9] Gokhan Memik,et al. Machine Learning-Based Temperature Prediction for Runtime Thermal Management Across System Components , 2018, IEEE Transactions on Parallel and Distributed Systems.
[10] Siddharth Garg,et al. Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations , 2011, 2011 Design, Automation & Test in Europe.
[11] Kevin Skadron,et al. Differentiating the roles of IR measurement and simulation for power and temperature-aware design , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.
[12] Smruti R. Sarangi,et al. LightSim: A leakage aware ultrafast temperature simulator , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
[13] Li Shang,et al. Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[14] Sheldon X.-D. Tan,et al. Full-chip thermal analysis of 3D ICs with liquid cooling by GPU-accelerated GMRES method , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[15] H. Fisk Johnson,et al. An improved method for computing a discrete Hankel transform , 1987 .
[16] David Atienza,et al. Neural Network-Based Thermal Simulation of Integrated Circuits on GPUs , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[17] David Atienza,et al. Modeling and dynamic management of 3D multicore systems with liquid cooling , 2009, 2009 17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC).
[18] Li Shang,et al. ISAC: Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[19] Chip-Hong Chang,et al. Thermal simulator of 3D-IC with modeling of anisotropic TSV conductance and microchannel entrance effects , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).
[20] Xuan Zeng,et al. An efficient leakage-aware thermal simulation approach for 3D-ICs using corrected linearized model and algebraic multigrid , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[21] H. Rothuizen,et al. Interlayer cooling potential in vertically integrated packages , 2008 .
[22] Jörg Henkel,et al. Machine Learning Based Online Full-Chip Heatmap Estimation , 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[23] Chia-Lin Yang,et al. Thermal modeling for 3D-ICs with integrated microchannel cooling , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[24] Li Shang,et al. 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[25] Smruti R. Sarangi,et al. A Survey of Chip-level Thermal Simulators , 2019, ACM Comput. Surv..
[26] Sung-Mo Kang,et al. Power Blurring: Fast Static and Transient Thermal Analysis Method for Packaged Integrated Circuits and Power Devices , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[27] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[28] Kevin Skadron,et al. HotSpot 6.0: Validation, Acceleration and Extension , 2015 .
[29] Huazhong Yang,et al. Accurate temperature-dependent integrated circuit leakage power estimation is easy , 2007 .
[30] Charlie Chung-Ping Chen,et al. 3D thermal-ADI: an efficient chip-level transient thermal simulator , 2003, ISPD '03.
[31] Zhuo Feng,et al. Fast thermal analysis on GPU for 3D-ICs with integrated microchannel cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).