A 32-nm Subthreshold 7T SRAM Bit Cell With Read Assist

The implementation of the six-transistor (6T) static random access memory cell in deep submicrometer region has become difficult due to the compromise between area, power, and performance, with local and global variations only exacerbating the problem further. To impede the read–write conflict of the 6T cell, the seven-transistor (7T) cell with a noise-margin-free read operation has previously been proposed. But it severely lags in terms of its write ability at lower voltages due to its single-ended write operation. Its single-ended read operation also degrades severely in performance when operating in subthreshold (ST) region. To combat these problems, we propose a 7T cell which operates in the ST region down to 0.4 V with improved dynamic write ability. The novel topology also helps reduce power consumption by achieving a lower data retention voltage point. A read assist has been proposed to greatly enhance the performance of the single-ended read operation in ST region. Large improvements in various performance metrics of the proposed cell have been attained while simultaneously achieving a low area of $0.254~\mu \text{m}^{2}$ per bit cell on the 32-nm technology node.

[1]  A. Wang,et al.  Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.

[2]  C. Radens,et al.  A Sub-600-mV, Fluctuation Tolerant 65-nm CMOS SRAM Array With Dynamic Cell Biasing , 2008, IEEE Journal of Solid-State Circuits.

[3]  Koji Nii,et al.  16 nm FinFET High-k/Metal-gate 256-kbit 6T SRAM macros with wordline overdriven assist , 2014, 2014 IEEE International Electron Devices Meeting.

[4]  S. Shimada,et al.  Low-power embedded SRAM modules with expanded margins for writing , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[5]  Jiajing Wang,et al.  Analyzing static and dynamic write margin for nanometer SRAMs , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[6]  Ching-Te Chuang,et al.  Analysis of GeOI FinFET 6T SRAM Cells With Variation-Tolerant WLUD Read-Assist and TVC Write-Assist , 2015, IEEE Transactions on Electron Devices.

[7]  Benton H. Calhoun,et al.  5T SRAM With Asymmetric Sizing for Improved Read Stability , 2011, IEEE Journal of Solid-State Circuits.

[8]  N. Edri,et al.  Data retention voltage detection for minimizing the standby power of SRAM arrays , 2012, 2012 IEEE 27th Convention of Electrical and Electronics Engineers in Israel.

[9]  N. Planes,et al.  A New Combined Methodology for Write-Margin Extraction of Advanced SRAM , 2007, 2007 IEEE International Conference on Microelectronic Test Structures.

[10]  M. Nomura,et al.  Redefinition of Write Margin for Next-Generation SRAM and Write-Margin Monitoring Circuit , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[11]  Ching-Te Chuang,et al.  SRAM Write-Ability Improvement With Transient Negative Bit-Line Voltage , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  A.P. Chandrakasan,et al.  Static noise margin variation for sub-threshold SRAM in 65-nm CMOS , 2006, IEEE Journal of Solid-State Circuits.

[13]  Jonathan Chang,et al.  A 16 nm 128 Mb SRAM in High- $\kappa$ Metal-Gate FinFET Technology With Write-Assist Circuitry for Low-VMIN Applications , 2014, IEEE Journal of Solid-State Circuits.

[14]  Ali Sheikholeslami,et al.  Process Variation and Pelgrom's Law [Circuit Intuitions] , 2015, IEEE Solid-State Circuits Magazine.

[15]  S. Kosonocky,et al.  A Sub-600mV, Fluctuation tolerant 65nm CMOS SRAM Array with Dynamic Cell Biasing , 2007, 2007 IEEE Symposium on VLSI Circuits.

[16]  Shekhar Borkar Design challenges for 22nm CMOS and beyond , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[17]  Zheng Guo,et al.  Characterization of Dynamic SRAM Stability in 45 nm CMOS , 2011, IEEE Journal of Solid-State Circuits.

[18]  Hanwool Jeong,et al.  Trip-Point Bit-Line Precharge Sensing Scheme for Single-Ended SRAM , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Volkan Kursun,et al.  Low power and robust 7T dual-Vt SRAM circuit , 2008, 2008 IEEE International Symposium on Circuits and Systems.

[20]  M. Yabuuchi,et al.  A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist , 2009, 2009 Symposium on VLSI Circuits.

[21]  Kenichi Osada,et al.  Universal-Vdd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell , 2001, IEEE J. Solid State Circuits.