A Survey of Multicast Communication in Optical Network-on-Chip (ONoC)
暂无分享,去创建一个
Haibo Zhang | Zhiyi Huang | Wen Yang | Huaxi Gu | Cui Yu | Yawen Chen
[1] David T. Neilson,et al. Reconfigurable 100 Gb/s silicon photonic network-on-chip [invited] , 2015, IEEE/OSA Journal of Optical Communications and Networking.
[2] Chigo Okonkwo,et al. Compact multiplexing INTEGRATED PHOTONICS , 2022 .
[3] Axel Jantsch,et al. Power-efficient tree-based multicast support for Networks-on-Chip , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[4] Haibo Zhang,et al. Path-Based Routing and Wavelength Assignment for Multiple Multicasts in Optical Network-on-Chip , 2019, 2019 IEEE 21st International Conference on High Performance Computing and Communications; IEEE 17th International Conference on Smart City; IEEE 5th International Conference on Data Science and Systems (HPCC/SmartCity/DSS).
[5] Eby G. Friedman,et al. Manufacturing Technologies for Three-Dimensional Integrated Circuits , 2017 .
[6] Christopher Batten,et al. Designing Chip-Level Nanophotonic Interconnection Networks , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[7] Lei Guo,et al. Low Insertion Loss and Non-Blocking Microring-Based Optical Router for 3D Optical Network-on-Chip , 2018, IEEE Photonics Journal.
[8] Luca P. Carloni,et al. Engineering a Bandwidth-Scalable Optical Layer for a 3D Multi-core Processor with Awareness of Layout Constraints , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[9] Hong Guo,et al. High-Speed Implementation of Length-Compatible Privacy Amplification in Continuous-Variable Quantum Key Distribution , 2018, IEEE Photonics Journal.
[10] Hamid Sarbazi-Azad,et al. Reconfigurable multicast routing for Networks on Chip , 2016, Microprocess. Microsystems.
[11] David T. Neilson,et al. Reconfigurable 100 Gb/s silicon photonic network-on-chip , 2014, OFC 2014.
[12] Hannu Tenhunen,et al. A generic adaptive path-based routing method for MPSoCs , 2011, J. Syst. Archit..
[13] Avinash Karanth Kodi,et al. Extending the Performance and Energy-Efficiency of Shared Memory Multicores with Nanophotonic Technology , 2014, IEEE Transactions on Parallel and Distributed Systems.
[14] Eduard Alarcón,et al. Scalability-oriented multicast traffic characterization , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
[15] Li-Shiuan Peh,et al. Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[16] Srinivasan Seshan,et al. On-chip networks from a networking perspective: congestion and scalability in many-core interconnects , 2012, SIGCOMM '12.
[17] Yingtao Jiang,et al. On an efficient NoC multicasting scheme in support of multiple applications running on irregular sub-networks , 2011, Microprocess. Microsystems.
[18] Eduard Alarcón,et al. Multicast On-chip Traffic Analysis Targeting Manycore NoC Design , 2015, 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.
[19] David H. Albonesi,et al. Phastlane: a rapid transit optical routing network , 2009, ISCA '09.
[20] Wei Lu,et al. Efficient resource allocation for all-optical multicasting over spectrum-sliced elastic optical networks , 2013, IEEE/OSA Journal of Optical Communications and Networking.
[21] Rached Tourki,et al. A New Network on Chip Design Dedicated to Multicast Service , 2016 .
[22] Hannu Tenhunen,et al. HAMUM - A Novel Routing Protocol for Unicast and Multicast Traffic in MPSoCs , 2010, 2010 18th Euromicro Conference on Parallel, Distributed and Network-based Processing.
[23] Partha Pratim Pande,et al. Multicast-Aware High-Performance Wireless Network-on-Chip Architectures , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[24] Kuen-Jong Lee,et al. A Hybrid Multicast Routing Approach with Enhanced Methods for Mesh-Based Networks-on-Chip , 2018, IEEE Transactions on Computers.
[25] Lei Zhang,et al. Architectures and routing schemes for optical network-on-chips , 2009, Comput. Electr. Eng..
[26] Partha Pratim Pande,et al. High performance collective communication-aware 3D Network-on-Chip architectures , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[27] Huaxi Gu,et al. Wavelength-Reused Hierarchical Optical Network on Chip Architecture for Manycore Processors , 2019, IEEE Transactions on Sustainable Computing.
[28] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[29] Wei Zhang,et al. A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.
[30] Christopher Batten,et al. Building Many-Core Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics , 2009, IEEE Micro.
[31] Haibo Zhang,et al. RWADMM: Routing and Wavelength Assignment for Distribution-Based Multiple Multicasts in ONoC , 2017, 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC).
[32] Zheng Wang,et al. An adaptive partition-based multicast routing scheme for mesh-based Networks-on-Chip , 2016, Comput. Electr. Eng..
[33] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[34] Fernando Gehm Moraes,et al. Deadlock-Free Multicast Routing Algorithm for Wormhole-Switched Mesh Networks-on-Chip , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.
[35] Haibo Zhang,et al. Dynamic Ring-Based Multicast with Wavelength Reuse for Optical Network on Chips , 2016, 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC).
[36] Hannu Tenhunen,et al. An efficent dynamic multicast routing protocol for distributing traffic in NOCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[37] Ahmed Ben Achballah,et al. An Extensive Review of Emerging Technology Networks-On-Chip Proposals , 2017 .
[38] Yuankun Xue,et al. NoC Architectures as Enablers of Biological Discovery for Personalized and Precision Medicine , 2015, NOCS.
[39] Valentin Puente,et al. MRR: Enabling fully adaptive multicast routing for CMP interconnection networks , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[40] Natalie D. Enright Jerger,et al. Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.
[41] Ian O'Connor,et al. System level assessment of an optical NoC in an MPSoC platform , 2007 .
[42] Nadziroh Nadziroh,et al. KONSEP PEMBELAJARAN PKN DALAM MENANAMKAN PENDIDIKAN ANTI KORUPSI SEJAK DINI DISEKOLAH DASAR , 2017 .
[43] Luca P. Carloni,et al. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.