Revisiting Stack Caches for Energy Efficiency
暂无分享,去创建一个
Mark D. Hill | Srilatha Manne | Yasuko Eckert | Lena E. Olson | M. Hill | Yasuko Eckert | Srilatha Manne
[1] Francisco Tirado,et al. Stack oriented data cache filtering , 2009, CODES+ISSS '09.
[2] M. Smelyanskiy,et al. Stack value file: custom microarchitecture for the stack , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[3] Michael Butler,et al. Bulldozer: An Approach to Multithreaded Compute Performance , 2011, IEEE Micro.
[4] Stéphan Jourdan,et al. Early load address resolution via register tracking , 2000, ISCA '00.
[5] W. H. Wang,et al. Organization and performance of a two-level virtual-real cache hierarchy , 1989, ISCA '89.
[6] Michael M. Swift,et al. Reducing memory reference energy with opportunistic virtual caching , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[7] Sangyeun Cho,et al. Decoupling local variable accesses in a wide-issue superscalar processor , 1999, ISCA.
[8] Jongman Kim,et al. A High-Performance and Energy-Efficient Virtually Tagged Stack Cache Architecture for Multi-core Environments , 2011, 2011 IEEE International Conference on High Performance Computing and Communications.
[9] Kazuaki Murakami,et al. Way-predicting set-associative cache for high performance and low energy consumption , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[10] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[11] Ronald G. Dreslinski,et al. Full-system analysis and characterization of interactive smartphone applications , 2011, 2011 IEEE International Symposium on Workload Characterization (IISWC).
[12] Michael C. Huang,et al. L1 data cache decomposition for energy efficiency , 2001, ISLPED '01.
[13] 鈴木 昭二,et al. Reliable Distributed Systems , 1998 .
[14] D. Rh. International symposium on pain. , 1973 .
[15] Gary S. Tyson,et al. Improving energy and performance of data cache architectures by exploiting memory reference characteristics , 2001 .
[16] Amer Diwan,et al. The DaCapo benchmarks: java benchmarking development and analysis , 2006, OOPSLA '06.
[17] Joel Emer,et al. Proceedings of the 50th Annual International Symposium on Computer Architecture , 2000, International Symposium on Computer Architecture.
[18] Gary S. Tyson,et al. Region-based caching: an energy-delay efficient memory architecture for embedded processors , 2000, CASES '00.
[19] Kimming So,et al. Cache Operations by MRU Change , 1988, IEEE Trans. Computers.
[20] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[21] Ravishankar K. Iyer,et al. Transparent runtime randomization for security , 2003, 22nd International Symposium on Reliable Distributed Systems, 2003. Proceedings..