A Highly Reliable Arbiter PUF With Improved Uniqueness in FPGA Implementation Using Bit-Self-Test
暂无分享,去创建一个
Qi Gao | Lein Harn | Lingchao Zhang | Zhangqing He | Wanbo Chen | Gaojun Chi | L. Harn | Lingchao Zhang | Zhangqing He | Wanbo Chen | Gaojun Chi | Qi Gao
[1] Osnat Keren,et al. A Method to Improve Reliability in a 65-nm SRAM PUF Array , 2018, IEEE Solid-State Circuits Letters.
[2] Debdeep Mukhopadhyay,et al. A Multiplexer-Based Arbiter PUF Composition with Enhanced Reliability and Security , 2018, IEEE Transactions on Computers.
[3] Stefan Katzenbeisser,et al. Decay-Based DRAM PUFs in Commodity Devices , 2019, IEEE Transactions on Dependable and Secure Computing.
[4] Yan Lei,et al. A lightweight and secure-enhanced Strong PUF design on FPGA , 2019, IEICE Electron. Express.
[5] Yuan Cao,et al. A Low Power Diode-Clamped Inverter-Based Strong Physical Unclonable Function for Robust and Lightweight Authentication , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.
[6] Santosh Kumar Vishvakarma,et al. An ultra-low power, reconfigurable, aging resilient RO PUF for IoT applications , 2019, Microelectron. J..
[7] A. A. Ivaniuk,et al. Multi-valued Arbiters for quality enhancement of PUF responses on FPGA implementation , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[8] Srinivas Devadas,et al. Identification and authentication of integrated circuits , 2004, Concurr. Pract. Exp..
[9] Nima Karimian,et al. DRAM-Based Intrinsic Physically Unclonable Functions for System-Level Security and Authentication , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[10] Lilian Bossuet,et al. Design, Evaluation, and Optimization of Physical Unclonable Functions Based on Transient Effect Ring Oscillators , 2016, IEEE Transactions on Information Forensics and Security.
[11] Kui Dai,et al. An Invasive-Attack-Resistant PUF Based On Switched-Capacitor Circuit , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.
[12] Osnat Keren,et al. A Highly Reliable SRAM PUF with a Capacitive Preselection Mechanism and pre-ECC BER of 7.4E-10 , 2019, 2019 IEEE Custom Integrated Circuits Conference (CICC).
[13] Marten van Dijk,et al. A technique to build a secret key in integrated circuits for identification and authentication applications , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[14] Daniel E. Holcomb,et al. Using Statistical Models to Improve the Reliability of Delay-Based PUFs , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[15] Qiang Zhou,et al. Crossover Ring Oscillator PUF , 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).
[16] Srinivas Devadas,et al. FPGA PUF using programmable delay lines , 2010, 2010 IEEE International Workshop on Information Forensics and Security.
[17] Xiaolin Xu,et al. Rethinking FPGA Security in the New Era of Artificial Intelligence , 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).
[18] Himanshu Kaul,et al. 16.2 A 0.19pJ/b PVT-variation-tolerant hybrid physically unclonable function circuit for 100% stable secure key generation in 22nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[19] Srinivas Devadas,et al. Secure and robust error correction for physical unclonable functions , 2010, IEEE Design & Test of Computers.
[20] Derek Abbott,et al. PUF-FSM: A Controlled Strong PUF , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[21] Chuang Bai,et al. A Reliable Strong PUF Based on Switched-Capacitor Circuit , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[22] Hirofumi Shinohara,et al. A 373 F2 2D Power-Gated EE SRAM Physically Unclonable Function With Dark-Bit Detection Technique , 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).
[23] Georg T. Becker,et al. On the Pitfalls of Using Arbiter-PUFs as Building Blocks , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[24] Yang Su,et al. Lightweight (Reverse) Fuzzy Extractor With Multiple Reference PUF Responses , 2019, IEEE Transactions on Information Forensics and Security.
[25] G. Edward Suh,et al. Physical Unclonable Functions for Device Authentication and Secret Key Generation , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[26] Georg Sigl,et al. Physical Unclonable Functions , 2012, Datenschutz und Datensicherheit - DuD.
[27] Ken Mai,et al. An efficient reliable PUF-based cryptographic key generator in 65nm CMOS , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[28] Matthias Hiller,et al. Increasing the efficiency of syndrome coding for PUFs with helper data compression , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[29] A. A. Ivaniuk,et al. Reliable and Modeling Attack Resistant Authentication of Arbiter PUF in FPGA Implementation With Trinary Quadruple Response , 2019, IEEE Transactions on Information Forensics and Security.
[30] Jiliang Zhang,et al. Approximation Attacks on Strong PUFs , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[31] Damith Chinthana Ranasinghe,et al. TREVERSE: TRial-and-Error Lightweight Secure ReVERSE Authentication With Simulatable PUFs , 2018, IEEE Transactions on Dependable and Secure Computing.
[32] Srinivas Devadas,et al. A Lockdown Technique to Prevent Machine Learning on PUFs for Lightweight Authentication , 2016, IEEE Transactions on Multi-Scale Computing Systems.
[33] Tony Q. S. Quek,et al. Lightweight and Practical Anonymous Authentication Protocol for RFID Systems Using Physically Unclonable Functions , 2018, IEEE Transactions on Information Forensics and Security.
[34] G. Edward Suh,et al. Extracting secret keys from integrated circuits , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[35] Gang Qu,et al. A highly flexible ring oscillator PUF , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[36] Srinivas Devadas,et al. Trapdoor Computational Fuzzy Extractors and Stateless Cryptographically-Secure Physical Unclonable Functions , 2017, IEEE Transactions on Dependable and Secure Computing.
[37] Yan Gao,et al. A Near-Optimal Protocol for the Grouping Problem in RFID systems , 2020 .
[38] Dawu Gu,et al. Helper Data Algorithms for PUF-Based Key Generation: Overview and Analysis , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[39] Jeroen Delvaux,et al. Machine-Learning Attacks on PolyPUFs, OB-PUFs, RPUFs, LHS-PUFs, and PUF–FSMs , 2019, IEEE Transactions on Information Forensics and Security.
[40] Xiong Xu,et al. Reliable and efficient PUF-based cryptographic key generator using bit self-tests , 2020 .
[41] Miodrag Potkonjak,et al. Lightweight secure PUFs , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[42] Moti Yung,et al. End-To-End Design of a PUF-Based Privacy Preserving Authentication Protocol , 2015, CHES.
[43] Vladimir P. Klybik,et al. Use of arbiter physical unclonable function to solve identification problem of digital devices , 2015, Automatic Control and Computer Sciences.