Technologies for Ultradynamic Voltage Scaling Circuits such as logic cells, static random access memories, analog-digital converters and dc-dc converters can be used as building blocks for applications that can function efficiently over a wide range of supply voltages.

Energy efficiency of electronic circuits is a critical concern in a wide range of applications from mobile multi- media to biomedical monitoring. An added challenge is that many of these applications have dynamic workloads. To reduce the energy consumption under these variable computation requirements, the underlying circuits must function efficiently over a wide range of supply voltages. This paper presents voltage-scalable circuits such as logic cells, SRAMs, ADCs, and dc-dc converters. Using these circuits as building blocks, two different applications are highlighted. First, we describe an H.264/AVC video decoder that efficiently scales between QCIF and 1080p resolutions, using a supply voltage varying from 0.5 V to 0.85 V. Second, we describe a 0.3 V 16-bit micro- controller with on-chip SRAM, where the supply voltage is generated efficiently by an integrated dc-dc converter.

[1]  Jiun-In Guo,et al.  A 252kgate/71mW Multi-Standard Multi-Channel Video Decoder for High Definition Video Applications , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[2]  Dragan Maksimovic,et al.  Switched-capacitor DC-DC converters for low-power on-chip applications , 1999, 30th Annual IEEE Power Electronics Specialists Conference. Record. (Cat. No.99CH36321).

[3]  Masashi Horiguchi,et al.  Ultra-low voltage nano-scale embedded RAMs , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[4]  David Blaauw,et al.  Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[5]  Chong-Min Kyung,et al.  1.8mW, hybrid-pipelined H.264/AVC decoder for mobile devices , 2007, 2007 IEEE Asian Solid-State Circuits Conference.

[6]  Anantha Chandrakasan,et al.  A 0.4-V UWB baseband processor , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[7]  Yu Cao,et al.  Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[8]  A. Chandrakasan,et al.  A 180mV FFT processor using subthreshold circuit techniques , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[9]  Yu Cao,et al.  New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.

[10]  Kiyoo Itoh,et al.  Adaptive circuits for the 0.5-V nanoscale CMOS era , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[11]  Chen-Yi Lee,et al.  A 125/spl mu/w, fully scalable MPEG-2 and H.264/AVC video decoder for mobile applications , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[12]  Kaushik Roy,et al.  Ultra-low-power DLMS adaptive filter for hearing aid applications , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[13]  Alison J. Burdett,et al.  A 1V, Micropower System-on-Chip for Vital-Sign Monitoring in Wireless Body Sensor Networks , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[14]  A.P. Chandrakasan,et al.  Minimum Energy Tracking Loop With Embedded DC–DC Converter Enabling Ultra-Low-Voltage Operation Down to 250 mV in 65 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[15]  D. Roden Drug-induced prolongation of the QT interval. , 2004, The New England journal of medicine.

[16]  A.P. Chandrakasan,et al.  A low-power 0.7-V H.264 720p video decoder , 2008, 2008 IEEE Asian Solid-State Circuits Conference.

[17]  J. L. Willems,et al.  The Diagnostic Performance of Computer Programs for the Interpretation of Electrocardiograms , 1991 .

[18]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[19]  N. Vallepalli,et al.  A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply , 2005, IEEE Journal of Solid-State Circuits.

[20]  A.P. Chandrakasan,et al.  Voltage Scalable Switched Capacitor DC-DC Converter for Ultra-Low-Power On-Chip Applications , 2007, 2007 IEEE Power Electronics Specialists Conference.

[21]  Gu-Yeon Wei,et al.  A fully digital, energy-efficient, adaptive power-supply regulator , 1999 .

[22]  A.P. Chandrakasan,et al.  A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.

[23]  Thomas D. Burd,et al.  The simulation and evaluation of dynamic voltage scaling algorithms , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[24]  Oliver Chiu-sing Choy,et al.  A Five-Stage Pipeline, 204 Cycles/MB, Single-Port SRAM-Based Deblocking Filter for H.264/AVC , 2008, IEEE Transactions on Circuits and Systems for Video Technology.

[25]  Kaushik Roy,et al.  A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[26]  B V Howard,et al.  Assessment of QT interval and QT dispersion for prediction of all-cause and cardiovascular mortality in American Indians: The Strong Heart Study. , 2000, Circulation.

[27]  A. Chandrakasan,et al.  A 180-mV subthreshold FFT processor using a minimum energy design methodology , 2005, IEEE Journal of Solid-State Circuits.

[28]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[29]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[30]  Jiajing Wang,et al.  Canary Replica Feedback for Near-DRV Standby VDD Scaling in a 90nm SRAM , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[31]  Robert H. Dennard,et al.  CMOS scaling for high performance and low power-the next ten years , 1995, Proc. IEEE.

[32]  Jens Sauerbrey,et al.  A 0.5-V 1-μW successive approximation ADC , 2003, IEEE J. Solid State Circuits.

[33]  Yu Cao,et al.  Robust design of high fan-in/out subthreshold circuits , 2005, 2005 International Conference on Computer Design.

[34]  Hae-Seung Lee,et al.  Analog-to-Digital Converters: Digitizing the Analog World , 2008, Proceedings of the IEEE.

[35]  D. Novak,et al.  Automatic QT interval measurement using rule-based gradient method , 2006, 2006 Computers in Cardiology.

[36]  Jan M. Rabaey,et al.  SRAM leakage suppression by minimizing standby supply voltage , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

[37]  Lawrence T. Clark,et al.  An Ultra-low Power Memory with a Subthreshold Power Supply Voltage [PREPRINT] , 2006 .

[38]  S. Gambini,et al.  Low-Power Successive Approximation Converter With 0.5 V Supply in 90 nm CMOS , 2007, IEEE Journal of Solid-State Circuits.

[39]  K. Bernstein,et al.  Scaling, power, and the future of CMOS , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[40]  J. Fellrath,et al.  CMOS analog integrated circuits based on weak inversion operations , 1977 .

[41]  J. Meindl,et al.  The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.

[42]  Jan M. Rabaey,et al.  Standby supply voltage minimization for deep sub-micron SRAM , 2005, Microelectron. J..

[43]  Anantha Chandrakasan,et al.  Embedded power supply for low-power DSP , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[44]  U. Wismar,et al.  A 0.2V 0.44 /spl mu W 20 kHz Analog to Digital /spl Sigma/Δ Modulator with 57 fJ/conversion FoM , 2006, 2006 Proceedings of the 32nd European Solid-State Circuits Conference.

[45]  Bo Zhai,et al.  A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[46]  A.P. Chandrakasan,et al.  An Ultra Low Energy 12-bit Rate-Resolution Scalable SAR ADC for Wireless Sensor Nodes , 2007, IEEE Journal of Solid-State Circuits.

[47]  Boris Murmann,et al.  A/D converter trends: Power dissipation, scaling and digitally assisted architectures , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[48]  Kush Gulati,et al.  A low-power reconfigurable analog-to-digital converter , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[49]  G. Patounakis,et al.  A fully integrated on-chip DC-DC conversion and power management system , 2004, IEEE Journal of Solid-State Circuits.

[50]  G.C. Temes,et al.  Noise-shaping techniques applied to switched-capacitor voltage regulators , 2005, IEEE Journal of Solid-State Circuits.

[51]  M. P. Flynn,et al.  Digital calibration incorporating redundancy of flash ADCs , 2003, IEEE Trans. Circuits Syst. II Express Briefs.

[52]  Benton H. Calhoun,et al.  Device sizing for minimum energy operation in subthreshold circuits , 2004 .

[53]  R. M. Swanson,et al.  Ion-implanted complementary MOS transistors in low-voltage circuits , 1972 .

[54]  A.P. Chandrakasan,et al.  Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering , 2006, IEEE Journal of Solid-State Circuits.

[55]  Denis C. Daly,et al.  A 6-bit, 0.2 V to 0.9 V Highly Digital Flash ADC With Comparator Redundancy , 2009, IEEE Journal of Solid-State Circuits.

[56]  Thomas D. Burd,et al.  Design issues for Dynamic Voltage Scaling , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[57]  David Blaauw,et al.  Razor: circuit-level correction of timing errors for low-power operation , 2004, IEEE Micro.

[58]  Chun-Chieh Lin,et al.  H.264 Decoder: A Case Study in Multiple Design Points , 2008, 2008 6th ACM/IEEE International Conference on Formal Methods and Models for Co-Design.

[59]  Kaushik Roy,et al.  Computing with subthreshold leakage: device/circuit/architecture co-design for ultralow-power subthreshold operation , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[60]  K.J. Kuhn,et al.  Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS , 2007, 2007 IEEE International Electron Devices Meeting.

[61]  Atsushi Kawasumi,et al.  A Single-Power-Supply 0.7V 1GHz 45nm SRAM with An Asymmetrical Unit-ß-ratio Memory Cell , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[62]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[63]  Zhiyu Liu,et al.  High Read Stability and Low Leakage SRAM Cell Based on Data/Bitline Decoupling , 2006, 2006 IEEE International SOC Conference.

[64]  Robert W. Brodersen,et al.  A low-voltage CMOS DC-DC converter for a portable battery-operated system , 1994, Proceedings of 1994 Power Electronics Specialist Conference - PESC'94.