A High-Speed Inductive-Coupling Link With Burst Transmission

A high-speed inductive-coupling link is presented. It communicates at a data rate of 11 Gb/s for a communication distance of 15 mum in 180 nm CMOS. The data rate is 11times higher than previous inductive-coupling links. The communication distance is 5times longer than a capacitive-coupling link for the same data rate, bit error rate, and layout area. Burst transmission utilizing the high-speed inductive-coupling link is also presented. Multi-bit data links are multiplexed into a single burst data link. It reduces layout area by a factor of three in 180 nm CMOS and a factor of nine in 90 nm CMOS.

[1]  Tadahiro Kuroda,et al.  Misalignment Tolerance in Inductive-Coupling Inter-Chip Link for 3D System Integration , 2008 .

[2]  Jian Xu,et al.  A 36Gb/s ACCI Multi-Channel Bus using a Fully Differential Pulse Receiver , 2006, IEEE Custom Integrated Circuits Conference 2006.

[3]  Mau-Chung Frank Chang,et al.  Two 10Gb/s/pin Low-Power Interconnect Methods for 3D ICs , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[4]  T. Sakurai,et al.  A 1Tb/s 3W inductive-coupling transceiver for inter-chip clock and data link , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[5]  D. Yamazaki,et al.  40Gb/s 4:1 MUX/1:4 DEMUX in 90nm standard CMOS , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[6]  N. Miura,et al.  A 1.2Gb/s/pin wireless superconnect based on inductive inter-chip signaling (IIS) , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[7]  R. Guerrieri,et al.  3-D Capacitive Interconnections With Mono- and Bi-Directional Capabilities , 2008, IEEE Journal of Solid-State Circuits.

[8]  T. Sakurai,et al.  A 0.14 pJ/b Inductive-Coupling Transceiver With Digitally-Controlled Precise Pulse Shaping , 2008, IEEE Journal of Solid-State Circuits.

[9]  Roberto Guerrieri,et al.  3D Capacitive Interconnections with Mono- and Bi-Directional Capabilities , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[10]  Paul D. Franzon,et al.  4 Gbps high-density AC coupled interconnection , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).

[11]  R. Ho,et al.  Electronic alignment for proximity communication , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[12]  Justin Schauer,et al.  Circuit Techniques to Enable 430Gb/s/mm2 Proximity Communication , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[13]  Tadahiro Kuroda,et al.  An 11Gb/s Inductive-Coupling Link with Burst Transmission , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[14]  T. Sakurai,et al.  A 195Gb/s 1.2W 3D-stacked inductive inter-chip wireless superconnect with transmit power control scheme , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[15]  T. Ogura,et al.  A 10 Gb/s demultiplexer IC in 0.18 /spl mu/m CMOS using current mode logic with tolerance to the threshold voltage fluctuation , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[16]  Roberto Guerrieri,et al.  A 0.14mW/Gbps high-density capacitive interface for 3D system integration , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[17]  G.E. Moore,et al.  No exponential is forever: but "Forever" can be delayed! [semiconductor industry] , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[18]  Paul D. Franzon,et al.  3 gb/s AC coupled chip-to-chip communication using a low swing pulse receiver , 2006, IEEE Journal of Solid-State Circuits.

[19]  K. Kondo,et al.  A 160Gb/s interface design configuration for multichip LSI , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[20]  Werner Weber,et al.  Vertical signal transmission in three-dimensional integrated circuits by capacitive coupling , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

[21]  T. Sakurai,et al.  A 1 Tb/s 3 W Inductive-Coupling Transceiver for 3D-Stacked Inter-Chip Clock and Data Link , 2007, IEEE Journal of Solid-State Circuits.

[22]  D.D. Antono,et al.  1.27Gb/s/pin 3mW/pin wireless superconnect (WSC) interface scheme , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[23]  R. Berger,et al.  Megapixel CMOS image sensor fabricated in three-dimensional integrated circuit technology , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[24]  T. Sakurai,et al.  Crosstalk Countermeasures for High-Density Inductive-Coupling Channel Array , 2007, IEEE Journal of Solid-State Circuits.

[25]  Yang Song,et al.  System-in-silicon architecture and its application to H.264/AVC motion estimation for 1080HDTV , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[26]  Kenji Hirose,et al.  A 390MHz Single-Chip Application and Dual-Mode Baseband Processor in 90nm Triple-Vt CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[27]  K. Warner,et al.  Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[28]  Tadahiro Kuroda,et al.  2 Gb/s 15 pJ/b/chip Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking , 2009, IEEE J. Solid State Circuits.

[29]  Tadahiro Kuroda,et al.  A 2Gb/s 15pJ/b/chip Inductive-Coupling programmable bus for NAND Flash memory stacking , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[30]  Miura Noriyuki,et al.  A 195Gb/s 1.2W 3D-Stacked Inductive Inter-Chip Wireless Superconnect with Transmit Power Control Scheme , 2005 .