Using a Flexible Fault-Tolerant Cache to Improve Reliability for Ultra Low Voltage Operation
暂无分享,去创建一个
[1] Yiran Chen,et al. Tolerating process variations in large, set-associative caches: The buddy cache , 2009, TACO.
[2] Kaushik Roy,et al. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Yiran Chen,et al. VOSCH: Voltage scaled cache hierarchies , 2007, 2007 25th International Conference on Computer Design.
[4] A.P. Chandrakasan,et al. A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.
[5] Edward J. McCluskey,et al. PADded cache: a new fault-tolerance technique for cache memories , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
[6] Farshad Moradi,et al. 65NM sub-threshold 11T-SRAM for ultra low voltage applications , 2008, 2008 IEEE International SOC Conference.
[7] Avesta Sasan,et al. Inquisitive Defect Cache: A Means of Combating Manufacturing Induced Process Variation , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[8] Anna W. Topol,et al. Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[9] David Blaauw,et al. Yield-Driven Near-Threshold SRAM Design , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[10] Hai Zhou,et al. Yield-Aware Cache Architectures , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[11] Chin-Long Chen,et al. Error-Correcting Codes for Semiconductor Memory Applications: A State-of-the-Art Review , 1984, IBM J. Res. Dev..
[12] Amin Ansari,et al. Enabling ultra low voltage system operation by tolerating on-chip cache failures , 2009, ISLPED.
[13] Doe Hyun Yoon,et al. Memory mapped ECC: low-cost error protection for last level caches , 2009, ISCA '09.
[14] Amin Ansari,et al. ZerehCache: Armoring cache architectures in high defect density technologies , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[15] Hussein Al-Omari,et al. New Graph Coloring Algorithms , 2006 .
[16] A. Chandrakasan,et al. A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[17] Todd M. Austin,et al. SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.
[18] Alaa R. Alameldeen,et al. Trading off Cache Capacity for Reliability to Enable Low Voltage Operation , 2008, 2008 International Symposium on Computer Architecture.
[19] Wei Wu,et al. Improving cache lifetime reliability at ultra-low voltages , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[20] Babak Falsafi,et al. Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[21] Lucas Rioux-Maldague. Graph Coloring Algorithms , 2014 .
[22] Nikil D. Dutt,et al. E < MC2: less energy through multi-copy cache , 2010, CASES '10.
[23] H. Fujiwara,et al. An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment , 2007, 2007 IEEE Symposium on VLSI Circuits.
[24] Frank Vahid,et al. A highly configurable cache for low energy embedded systems , 2005, TECS.
[25] Trevor N. Mudge,et al. On-Chip Cache Device Scaling Limits and Effective Fault Repair Techniques in Future Nanoscale Technology , 2007, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007).
[26] Kaushik Roy,et al. A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[27] Doe Hyun Yoon,et al. Flexible cache error protection using an ECC FIFO , 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.
[28] Avesta Sasan,et al. A fault tolerant cache architecture for sub 500mV operation: resizable data composer cache (RDC-cache) , 2009, CASES '09.
[29] Kaushik Roy,et al. A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[30] Nikil D. Dutt,et al. Multicopy Cache: A Highly Energy-Efficient Cache Architecture , 2014, TECS.
[31] Yiran Chen,et al. The salvage cache: A fault-tolerant cache architecture for next-generation memory technologies , 2009, 2009 IEEE International Conference on Computer Design.