ALLCN: an automatic logic-to-layout tool for carbon nanotube based nanotechnology

Since rapid progress has been made in device improvement and integration of small carbon nanotube field-effect transistors (CNFETs) circuits, the time has come for developing computer-aided design (CAD) methodologies and tools for the design of larger CNFET circuits. In this paper, we present the first automatic logic-to-layout (ALLCN) tool for CNFET circuits. The main purpose of this work is to bridge the wide gap that currently exists between research on the development of nanoscale devices and design tools for such devices. ALLCN is built on top of existing CAD tools including Magic, TimberWolf and YACR. It can automatically generate a CNFET circuit layout from a logic implementation and then perform circuit extraction from the physical layout for SPICE simulation. Experiments were performed with various MCNC benchmarks and logic blocks. Their performance, area and power are reported.

[1]  Kaushik Roy,et al.  A circuit-compatible model of ballistic carbon nanotube field-effect transistors , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Resve Saleh,et al.  Analysis and Design of Digital Integrated Circuits , 1983 .

[3]  D. Neumayer,et al.  Frequency response of top-gated carbon nanotube field-effect transistors , 2004, IEEE Transactions on Nanotechnology.

[4]  S. Iijima Helical microtubules of graphitic carbon , 1991, Nature.

[5]  Walter S. Scott,et al.  Magic: A VLSI Layout System , 1984, 21st Design Automation Conference Proceedings.

[6]  P. Avouris,et al.  Nanotubes for electronics. , 2000, Scientific American.

[7]  Walter S. Scott,et al.  Magic's Circuit Extractor , 1985, IEEE Design & Test of Computers.

[8]  P. Avouris,et al.  Carbon nanotube transistors and logic circuits , 2002 .

[9]  J. Kavalieros,et al.  A 50 nm depleted-substrate CMOS transistor (DST) , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[10]  Robert K. Brayton,et al.  Sequential circuit design using synthesis and optimization , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

[11]  W. Hoenlein New Prospects for Microelectronics: Carbon Nanotubes , 2001, Digest of Papers. Microprocesses and Nanotechnology 2001. 2001 International Microprocesses and Nanotechnology Conference (IEEE Cat. No.01EX468).

[12]  Qi Xiang,et al.  15 nm gate length planar CMOS transistor , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[13]  Daniel J. Sorin,et al.  Semi-empirical SPICE models for carbon nanotube FET logic , 2004, 4th IEEE Conference on Nanotechnology, 2004..

[14]  A. Sangiovanni-Vincentelli,et al.  The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.

[15]  P. J. Burke An RF circuit model for carbon nanotubes , 2003 .

[16]  Jing Guo,et al.  Assessment of silicon MOS and carbon nanotube FET performance limits using a general theory of ballistic transistors , 2002, Digest. International Electron Devices Meeting,.

[17]  Jing Guo,et al.  Carbon Nanotube Field-Effect Transistors with Integrated Ohmic Contacts and High-κ Gate Dielectrics , 2004 .

[18]  H. Dai,et al.  High performance n-type carbon nanotube field-effect transistors with chemically doped contacts. , 2004, Nano letters.

[19]  Qian Wang,et al.  Carbon Nanotube Transistor Arrays for Multistage Complementary Logic and Ring Oscillators , 2002, Nano Letters.

[20]  M. Dresselhaus,et al.  Carbon nanotubes : synthesis, structure, properties, and applications , 2001 .

[21]  C. Dekker,et al.  Logic Circuits with Carbon Nanotube Transistors , 2001, Science.

[22]  Richard Martel,et al.  Fabrication and electrical characterization of top gate single-wall carbon nanotube field-effect transistors , 2002 .

[23]  M. Lundstrom,et al.  Ballistic carbon nanotube field-effect transistors , 2003, Nature.

[24]  Franz Kreupl,et al.  Carbon nanotube applications in microelectronics , 2004 .

[25]  Paul L. McEuen,et al.  High Performance Electrolyte Gated Carbon Nanotube Transistors , 2002 .

[26]  P. Ajayan,et al.  Reliability and current carrying capacity of carbon nanotubes , 2001 .

[27]  J. Knoch,et al.  High performance of potassium n-doped carbon nanotube field-effect transistors , 2004, cond-mat/0402350.

[28]  S. Tans,et al.  Room-temperature transistor based on a single carbon nanotube , 1998, Nature.

[29]  Richard Martel,et al.  Vertical scaling of carbon nanotube field-effect transistors using top gate electrodes , 2002 .