Process variation and temperature aware adaptive scrubbing for retention failures in STT-MRAM
暂无分享,去创建一个
Mehdi Baradaran Tahoori | Nour Sayed | Rajendra Bishnoi | Sarath Mohanachandran Nair | M. Tahoori | R. Bishnoi | S. Nair | Nour Sayed
[1] Edwin Hsing-Mean Sha,et al. Retention Trimming for Lifetime Improvement of Flash Memory Storage Systems , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[3] Chia-Lin Yang,et al. SECRET: Selective error correction for refresh energy reduction in DRAMs , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).
[4] Mahmut T. Kandemir,et al. Leakage Current: Moore's Law Meets Static Power , 2003, Computer.
[5] Wei Wu,et al. Optimizing NAND flash-based SSDs via retention relaxation , 2012, FAST.
[6] S. Thompson,et al. Moore's law: the future of Si microelectronics , 2006 .
[7] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[8] Mircea R. Stan,et al. The Promise of Nanomagnetics and Spintronics for Future Logic and Universal Memory , 2010, Proceedings of the IEEE.
[9] Onur Mutlu,et al. AVATAR: A Variable-Retention-Time (VRT) Aware Refresh for DRAM Systems , 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[10] Dae-Hyun Kim,et al. ArchShield: architectural framework for assisting DRAM scaling by tolerating high error rates , 2013, ISCA.
[11] Cong Xu,et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[12] Wenqing Wu,et al. Multi retention level STT-RAM cache designs with a dynamic refresh scheme , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[13] Mehdi Baradaran Tahoori,et al. Exploiting STT-MRAM for approximate computing , 2017, 2017 22nd IEEE European Test Symposium (ETS).
[14] Eric Rotenberg,et al. Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[15] Mircea R. Stan,et al. Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM) , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.
[16] M. Hosomi,et al. A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[17] B. Dieny,et al. A compact model of precessional spin-transfer switching for MTJ with a perpendicular polarizer , 2012, 2012 28th International Conference on Microelectronics Proceedings.
[18] Chita R. Das,et al. Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs , 2012, DAC Design Automation Conference 2012.
[19] R. Schaller,et al. Technological innovation in the semiconductor industry: A case study of the International Technology Roadmap for Semiconductors (ITRS) , 2001, PICMET '01. Portland International Conference on Management of Engineering and Technology. Proceedings Vol.1: Book of Summaries (IEEE Cat. No.01CH37199).
[20] Richard Veras,et al. RAIDR: Retention-aware intelligent DRAM refresh , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[21] Xueti Tang,et al. Spin-transfer torque magnetic random access memory (STT-MRAM) , 2013, JETC.
[22] Youguang Zhang,et al. Reconfigurable Codesign of STT-MRAM Under Process Variations in Deeply Scaled Technology , 2015, IEEE Transactions on Electron Devices.
[23] Mircea R. Stan,et al. Relaxing non-volatility for fast and energy-efficient STT-RAM caches , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[24] Mehdi Baradaran Tahoori,et al. VAET-STT: A variation aware estimator tool for STT-MRAM based memories , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[25] Swaroop Ghosh,et al. Retention Testing Methodology for STTRAM , 2016, IEEE Design & Test.