A Design Methodology and Various Performance and Fabrication Metrics Evaluation of 3 D Network-on-Chip with Multiplexed Through-Silicon Vias
暂无分享,去创建一个
[1] Karthik Chandrasekar,et al. Performance Validation of Networks on Chip , 2009 .
[2] Partha Pratim Pande,et al. Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.
[3] William J. Dally,et al. Allocator implementations for network-on-chip routers , 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.
[4] Jan M. Rabaey,et al. Digital Integrated Circuits , 2003 .
[5] Santanu Chattopadhyay,et al. Extending Kernighan-Lin partitioning heuristic for application mapping onto Network-on-Chip , 2014, J. Syst. Archit..
[6] R. S. Jagtap,et al. A Methodology for Early Exploration of TSV Interconnects in 3D Stacked ICs , 2011 .
[7] Luca Benini,et al. NoC Design and Implementation in 65nm Technology , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[8] Yuan Xie,et al. Cost-effective integration of three-dimensional (3D) ICs emphasizing testing cost analysis , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[9] Antonis Papanikolaou,et al. Three Dimensional System Integration , 2011 .
[10] K. Banerjee,et al. Power dissipation issues in interconnect performance optimization for sub-180 nm designs , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).
[11] Hannu Tenhunen,et al. Compact modelling of Through-Silicon Vias (TSVs) in three-dimensional (3-D) integrated circuits , 2009, 2009 IEEE International Conference on 3D System Integration.
[12] Sudeep Pasricha,et al. Exploring serial vertical interconnects for 3D ICs , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[13] HEKAR,et al. Data Encoding Techniques for Reducing Energy Consumption in Network-on-Chip , 2016 .
[14] Luca P. Carloni,et al. The Connection-Then-Credit Flow Control Protocol for Heterogeneous Multicore Systems-on-Chip , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[15] Santanu Chattopadhyay,et al. A survey on application mapping strategies for Network-on-Chip design , 2013, J. Syst. Archit..
[16] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[17] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[18] P.T. Wolkotte,et al. Energy Model of Networks-on-Chip and a Bus , 2005, 2005 International Symposium on System-on-Chip.
[19] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[20] John P. Uyemura,et al. CMOS Logic Circuit Design , 1992 .
[21] Farhad Mehdipour,et al. Improving Performance and Fabrication Metrics of Three-Dimensional ICs by Multiplexing Through-Silicon Vias , 2013, 2013 Euromicro Conference on Digital System Design.
[22] S. Hessabi,et al. Evaluation of Traffic Pattern Effect on Power Consumption in Mesh and Torus Network-on-Chips , 2007, 2007 International Symposium on Integrated Circuits.
[24] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[25] E. Friedman,et al. Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance , 2009, IEEE Transactions on Electron Devices.
[26] Farhad Mehdipour,et al. Keep-Out-Zone analysis for three-dimensional ICs , 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.
[27] Peter J. Stuckey,et al. MiniZinc: Towards a Standard CP Modelling Language , 2007, CP.
[28] W. Dehaene,et al. Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.
[29] Joseph S. Chang,et al. A Circuits and Systems Perspective of Organic/Printed Electronics: Review, Challenges, and Contemporary and Emerging Design Approaches , 2017, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[30] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[31] Michael Peter Kennedy,et al. A high frequency “divide-by-odd number” CMOS LC injection-locked frequency divider , 2013 .
[32] Altamiro Amadeu Susin,et al. SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..
[33] Luca Benini,et al. A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, ICCAD 2008.
[34] Andreas Herkersdorf,et al. TSV-virtualization for Multi-protocol-Interconnect in 3D-ICs , 2012, 2012 15th Euromicro Conference on Digital System Design.