A Cross-Layer Power and Timing Evaluation Method for Wide Voltage Scaling

Wide supply voltage scaling is critical to enable worthwhile dynamic adjustment of the processor efficiency against varying workloads. In this paper, a cross-layer power and timing evaluation method is proposed to estimate the processor energy efficiency using both circuit and architectural information in a wide voltage range. The process variations are considered through statistical static timing analysis while the voltage effect is modeled through secondary iterated fittings. The error for estimating processor energy efficiency decreases to 8.29% when the supply voltage is scaled from 1.1V to 0.6V, while traditional architectural evaluations behave more than 40% errors.

[1]  Leibo Liu,et al.  A High Energy Efficient Reconfigurable Hybrid Neural Network Processor for Deep Learning Applications , 2018, IEEE Journal of Solid-State Circuits.

[2]  Longxing Shi,et al.  AMPS: Accelerating McPAT Power Evaluation Without Cycle-Accurate Simulations , 2020, IEEE Embedded Systems Letters.

[3]  J. Torrellas,et al.  VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.

[4]  C. E. Clark The Greatest of a Finite Set of Random Variables , 1961 .

[5]  Jung Ho Ahn,et al.  CACTI-P: Architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Gianluca Palermo,et al.  Variation-aware voltage island formation for power efficient near-threshold manycore architectures , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[7]  Niraj K. Jha,et al.  FinPrin: FinFET Logic Circuit Analysis and Optimization Under PVT Variations , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[9]  J. Hoe,et al.  OpenSPARC : An Open Platform for Hardware Reliability Experimentation , 2008 .

[10]  Jinjun Xiong,et al.  A dynamic method for efficient random mismatch characterization of standard cells , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[11]  Josep Torrellas,et al.  VARIUS-NTV: A microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages , 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).

[12]  Jinjun Xiong,et al.  Non-Gaussian Statistical Timing Analysis Using Second-Order Polynomial Fitting , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Niraj K. Jha,et al.  McPAT-PVT: Delay and Power Modeling Framework for FinFET Processor Architectures Under PVT Variations , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Mehdi Baradaran Tahoori,et al.  A cross-layer adaptive approach for performance and power optimization in STT-MRAM , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[15]  Andrzej J. Strojwas,et al.  Correlation-aware statistical timing analysis with non-Gaussian delay distributions , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[16]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[17]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[18]  A. Kumar,et al.  A 1.2 GHz Alpha microprocessor with 44.8 GB/s chip pin bandwidth , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[19]  David Castells-Rufas,et al.  Energy Efficiency of Many-Soft-Core Processors , 2016, ArXiv.

[20]  Martin D. F. Wong,et al.  OpenTimer: A high-performance timing analysis tool , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[21]  Tariq El Motassadeq CCS vs NLDM comparison based on a complete automated correlation flow between PrimeTime and HSPICE , 2011, 2011 Saudi International Electronics, Communications and Photonics Conference (SIECPC).

[22]  Josep Torrellas,et al.  ScalCore: Designing a core for voltage scalability , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[23]  Niraj K. Jha,et al.  FinCANON: A PVT-Aware Integrated Delay and Power Modeling Framework for FinFET-Based Caches and On-Chip Networks , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.