Energy Driven Application Self-Adaptation at Run-time
暂无分享,去创建一个
[1] Mani B. Srivastava,et al. Energy-aware wireless systems with adaptive power-fidelity tradeoffs , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] Jörg Henkel. A low power hardware/software partitioning approach for core-based embedded systems , 1999, DAC '99.
[3] Rami G. Melhem,et al. Power-aware scheduling for periodic real-time tasks , 2004, IEEE Transactions on Computers.
[4] Niraj K. Jha,et al. Register-transfer level estimation techniques for switching activity and power consumption , 1996, ICCAD 1996.
[5] Sri Parameswaran,et al. Rapid embedded hardware/software system generation , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[6] Mahadev Satyanarayanan,et al. Experience with adaptive mobile applications in Odyssey , 1999, Mob. Networks Appl..
[7] Mahadev Satyanarayanan,et al. Agile application-aware adaptation for mobility , 1997, SOSP.
[8] Trevor Mudge,et al. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.
[9] Muhammad Shafique,et al. A Self-Adaptive Extensible Embedded Processor , 2007, First International Conference on Self-Adaptive and Self-Organizing Systems (SASO 2007).
[10] Massoud Pedram,et al. Design considerations for battery-powered electronics , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
[11] Mahadev Satyanarayanan,et al. PowerScope: a tool for profiling the energy usage of mobile applications , 1999, Proceedings WMCSA'99. Second IEEE Workshop on Mobile Computing Systems and Applications.
[12] Frank Bellosa,et al. The Case for Event-Driven Energy Accounting , 2001 .
[13] Niraj K. Jha,et al. Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14] Niraj K. Jha,et al. Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Heterogeneous Distributed Real-time Embedded Systems , 2003, ICCAD 2003.
[15] Todd M. Austin,et al. The SimpleScalar tool set, version 2.0 , 1997, CARN.
[16] Trevor Mudge,et al. MiBench: A free, commercially representative embedded benchmark suite , 2001 .
[17] Miodrag Potkonjak,et al. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[18] J. Tschanz,et al. Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).
[19] Petru Eles,et al. Quasi-static voltage scaling for energy minimization with time constraints , 2005, Design, Automation and Test in Europe.
[20] J. Flinn,et al. Energy-aware adaptation for mobile applications , 1999, SOSP.
[21] Binoy Ravindran,et al. A power-aware, best-effort real-time task scheduling algorithm , 2003, Proceedings IEEE Workshop on Software Technologies for Future Embedded Systems. WSTFES 2003.
[22] Massoud Pedram,et al. Low power design methodologies , 1996 .
[23] Sujit Dey,et al. High-level macro-modeling and estimation techniques for switching activity and power consumption , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[24] Richard McGowen. Adaptive designs for power and thermal optimization , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[25] Sri Parameswaran,et al. CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time , 2007, 2007 Asia and South Pacific Design Automation Conference.