Task Assignment Algorithms for Multicore Platforms with Process Variations

We have moved into an era where modern multicore systems must deal with several critical challenges such as increased power density and high temperatures. In addition to this, ITRS projects that heterogeneity due to manufacturing process variations would continue to rapidly increase in sub-nanometer technology nodes. Spatial heat influence or the lateral heat transfer is becoming more prevalent in manycore systems and has a significant impact on the processor power consumption. Thus it is becoming increasingly difficult to meet the application throughput requirements while adhering to the system power budget and thermal constraints. Many of the existing task mapping schemes that does not take into account the underlying variations in core frequency and leakage power consumption will result in sub-optimal solutions. In this work, we first formulate the mapping problem as an optimization problem and then present a rank-based, process variation and lateral heat transfer aware application mapping algorithm to solve it heuristically. Our extensive experimental results reveal that the proposed algorithm produces near optimal results (average 6% away from optimal) while being orders of magnitude faster (4000x faster for 225 cores). Keywords– lateral heat conduction, task mapping, process variations, technology scaling, leakage power

[1]  Smruti R. Sarangi,et al.  LightSim: A leakage aware ultrafast temperature simulator , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[2]  Stijn Eyerman,et al.  An Evaluation of High-Level Mechanistic Core Models , 2014, ACM Trans. Archit. Code Optim..

[3]  Heba Khdr,et al.  New trends in dark silicon , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[4]  Thomas F. Wenisch,et al.  CoScale: Coordinating CPU and Memory System DVFS in Server Systems , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

[5]  Kai Ma,et al.  Scalable power control for many-core architectures running multi-threaded applications , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[6]  Smruti R. Sarangi,et al.  Leakage Power Aware Task Assignment Algorithms for Multicore Platforms , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[7]  J. Torrellas,et al.  VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.

[8]  Lieven Eeckhout,et al.  Chrysso: an integrated power manager for constrained many-core processors , 2015, Conf. Computing Frontiers.

[9]  Xi Chen,et al.  In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[10]  James E. Smith,et al.  A performance counter architecture for computing accurate CPI components , 2006, ASPLOS XII.

[11]  Narayanan Vijaykrishnan,et al.  Variation-Aware Task and Communication Mapping for MPSoC Architecture , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Xi Chen,et al.  Dynamic voltage and frequency scaling for shared resources in multicore processor designs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[13]  Karthikeyan Sankaralingam,et al.  Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.

[14]  Kees G. W. Goossens,et al.  Process-variation-aware mapping of best-effort and real-time streaming applications to MPSoCs , 2014, TECS.

[15]  Diana Marculescu,et al.  Dynamic thread mapping for high-performance, power-efficient heterogeneous many-core systems , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[16]  Diana Marculescu,et al.  Power-aware performance increase via core/uncore reinforcement control for chip-multiprocessors , 2012, ISLPED '12.

[17]  Diana Marculescu,et al.  Can We Guarantee Performance Requirements under Workload and Process Variations? , 2016, ISLPED.

[18]  Jeffrey Fan,et al.  Leakage temperature dependency modeling in system level analysis , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[19]  Sani R. Nassif,et al.  Design for Manufacturability and Statistical Design - A Constructive Approach , 2007, Series on integrated circuits and systems.

[20]  Margaret Martonosi,et al.  Computer Architecture Techniques for Power-Efficiency , 2008, Computer Architecture Techniques for Power-Efficiency.

[21]  Ke Meng,et al.  Physical Resource Matching Under Power Asymmetry , 2006 .

[22]  Mahmut T. Kandemir,et al.  Adapting Application Mapping to Systematic Within-Die Process Variations on Chip Multiprocessors , 2009, HiPEAC.

[23]  Josep Torrellas,et al.  Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors , 2008, 2008 International Symposium on Computer Architecture.

[24]  Chris Fallin,et al.  Memory power management via dynamic voltage/frequency scaling , 2011, ICAC '11.

[25]  Mahmut T. Kandemir,et al.  Process variation aware thread mapping for Chip Multiprocessors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[26]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[27]  Diana Marculescu,et al.  Analysis of dynamic voltage/frequency scaling in chip-multiprocessors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).