Overview of 3-D Architecture Design Opportunities and Techniques

Three-dimensional (3-D) integration, a breakthrough technology to achieve “More Moore and More Than Moore,” provides numerous benefits, e.g., higher performance, lower power consumption, and higher bandwidth, by utilizing vertical interconnects and die/wafer stacking. This paper presents an overview of 3-D integration along with various design challenges and recent innovations. —Partha Pande, Washington State University

[1]  Mark D. Hill,et al.  Supporting Very Large DRAM Caches with Compound-Access Scheduling and MissMap , 2012, IEEE Micro.

[2]  Sung Kyu Lim,et al.  Exploiting Die-to-Die Thermal Coupling in 3-D IC Placement , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[4]  Yuan Xie,et al.  Exploring the vulnerability of CMPs to soft errors with 3D stacked non-volatile memory , 2011, ICCD.

[5]  Sung Kyu Lim,et al.  A design tradeoff study with monolithic 3D integration , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[6]  Eby G. Friedman,et al.  Three-dimensional Integrated Circuit Design , 2008 .

[7]  Sachin S. Sapatnekar,et al.  Addressing thermal and power delivery bottlenecks in 3D circuits , 2009, 2009 Asia and South Pacific Design Automation Conference.

[8]  Yuan Xie,et al.  Fabrication Cost Analysis and Cost-Aware Design Space Exploration for 3-D ICs , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Hideharu Amano,et al.  Dynamic Power Consumption Optimization for Inductive-Coupling based Wireless 3D NoCs , 2014, IPSJ Trans. Syst. LSI Des. Methodol..

[10]  Tadahiro Kuroda,et al.  Efficient 3-D Bus Architectures for Inductive-Coupling ThruChip Interfaces , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Yuan Xie,et al.  Cost-effective integration of three-dimensional (3D) ICs emphasizing testing cost analysis , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[12]  Aamer Jaleel,et al.  CAMEO: A Two-Level Memory Organization with Capacity of Main Memory and Flexibility of Hardware-Managed Cache , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

[13]  Chita R. Das,et al.  Cost-driven 3D integration with interconnect layers , 2010, Design Automation Conference.

[14]  Jun Yang,et al.  Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.

[15]  Cong Xu,et al.  Bandwidth-aware reconfigurable cache design with hybrid memory technologies , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[16]  Shanq-Jang Ruan,et al.  Cluster-based thermal-aware 3D-floorplanning technique with post-floorplan TTSV insertion at via-channels , 2013, Fifth Asia Symposium on Quality Electronic Design (ASQED 2013).

[17]  Tao Zhang,et al.  Arithmetic unit design using 180nm TSV-based 3D stacking technology , 2009, 2009 IEEE International Conference on 3D System Integration.

[18]  Daniel Kearney,et al.  A liquid cooling solution for temperature redistribution in 3D IC architectures , 2012, Microelectron. J..

[19]  Jun Zhou,et al.  BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[20]  Tadahiro Kuroda,et al.  An 8Tb/s 1pJ/b 0.8mm2/Tb/s QDR inductive-coupling interface between 65nm CMOS GPU and 0.1µm DRAM , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[21]  Yuan Xie,et al.  Energy-efficient GPU design with reconfigurable in-package graphics memory , 2012, ISLPED '12.

[22]  Bashir M. Al-Hashimi,et al.  Cost-Effective TSV Grouping for Yield Improvement of 3D-ICs , 2011, 2011 Asian Test Symposium.

[23]  Yuan Xie,et al.  Optimizing GPU energy efficiency with 3D die-stacking graphics memory and reconfigurable memory interface , 2013, TACO.

[24]  Yuan Xie,et al.  Cost-aware three-dimensional (3D) many-core multiprocessor design , 2010, Design Automation Conference.

[25]  Yuan Xie,et al.  Simple but Effective Heterogeneous Main Memory with On-Chip Memory Controller Support , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.