Memories in Scaled technologies: A Review of Process Induced Failures, Test methodologies, and Fault Tolerance
暂无分享,去创建一个
[1] Yuan Taur,et al. Fundamentals of Modern VLSI Devices , 1998 .
[2] A.P. Chandrakasan,et al. A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[3] K. Roy,et al. Modeling and estimation of failure probability due to parameter variations in nano-scale SRAMs for yield enhancement , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[4] David Blaauw,et al. Parametric yield estimation considering leakage variability , 2004, Proceedings. 41st Design Automation Conference, 2004..
[5] T. Nirschl,et al. Yield and speed optimization of a latch-type voltage sense amplifier , 2004, IEEE Journal of Solid-State Circuits.
[6] Norman C. Beaulieu,et al. Estimating the distribution of a sum of independent lognormal random variables , 1995, IEEE Trans. Commun..
[7] C.H. Kim,et al. An on-die CMOS leakage current sensor for measuring process variation in sub-90nm generations , 2004, 2005 International Conference on Integrated Circuit Design and Technology, 2005. ICICDT 2005..
[8] K. Sakui,et al. A CMOS bandgap reference circuit with sub-1-V operation , 1999 .
[9] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[10] C.H. Kim,et al. On-die CMOS leakage current sensor for measuring process variation in sub-90nm generations , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[11] Kaushik Roy,et al. Efficient testing of SRAM with optimized march sequences and a novel DFT technique for emerging failures due to process variations , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Kaushik Roy,et al. Statistical design and optimization of SRAM cell for yield enhancement , 2004, ICCAD 2004.
[13] Said Hamdioui,et al. An experimental analysis of spot defects in SRAMs: realistic fault models and tests , 2000, Proceedings of the Ninth Asian Test Symposium.
[14] Vishwani D. Agrawal,et al. Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.
[15] Kaushik Roy,et al. Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring , 2005, IEEE International Conference on Test, 2005..
[16] Kaushik Roy,et al. Dual-edge triggered level converting flip-flops , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[17] J. Meindl,et al. The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.