Novel Chip Stacking Methods to Extend Both Horizontally and Vertically for Many-Core Architectures with ThrouChip Interface
暂无分享,去创建一个
[1] K. Warner,et al. Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[2] Steve Ward,et al. Three-Dimensional Network Topologies , 1994, PCRCW.
[3] Tadahiro Kuroda,et al. A scalable 3D processor by homogeneous chip stacking with inductive-coupling link , 2009, 2009 Symposium on VLSI Circuits.
[4] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[5] D. Scott Wills,et al. The Offset Cube: A Three-Dimensional Multicomputer Network Topology Using Through-Wafer Optics , 1998, IEEE Trans. Parallel Distributed Syst..
[6] Daisuke Sasaki,et al. 3D NoC with Inductive-Coupling Links for Building-Block SiPs , 2014, IEEE Transactions on Computers.
[7] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[8] Jaejin Lee,et al. A 1.2 V 8 Gb 8-Channel 128 GB/s High-Bandwidth Memory (HBM) Stacked DRAM With Effective I/O Test Circuits , 2015, IEEE Journal of Solid-State Circuits.
[9] Tadahiro Kuroda,et al. An inductive-coupling link for 3D integration of a 90nm CMOS processor and a 65nm CMOS SRAM , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[10] Tadahiro Kuroda,et al. 47% Power Reduction and 91% Area Reduction in Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[11] S. Borkar,et al. An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[12] Tadahiro Kuroda,et al. A 0.14pJ/b Inductive-Coupling Inter-Chip Data Transceiver with Digitally-Controlled Precise Pulse Shaping , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[13] Lionel M. Ni,et al. The Turn Model for Adaptive Routing , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.
[14] Hideharu Amano,et al. Expandable Chip Stacking Method for Many-core Architectures Consisting of Tiny Chips , 2015, 2015 IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip.
[15] David A. Patterson,et al. Computer Architecture, Fifth Edition: A Quantitative Approach , 2011 .
[16] H. Jin,et al. - 3-The OpenMP Implementation of NAS Parallel Benchmarks and Its Performance , 1999 .
[17] Hiroshi Nakamura,et al. A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface , 2013, IEEE Micro.
[18] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[19] Hideharu Amano. Castle of Chips: A New Chip Stacking Structure with Wireless Inductive Coupling for Large Scale 3-D Multicore Systems , 2012, 2012 15th International Conference on Network-Based Information Systems.