Clock rescheduling for timing engineering change orders

With increasing circuit complexities, design bugs are commonly found in late design stages, and thus engineering change orders (ECOs) have become an indispensable process in modern VLSI design. Most prior approaches to the timing ECO problem are concerned about combinational logic optimization. In contrast, this paper addresses the problem in the sequential domain to explore more optimization flexibility. We propose an orthogonal method of post-mask clock scheduling with spare cells. Compared to traditional clock scheduling, clock scheduling in the ECO stage is more challenging in that it confronts limited spare-cell resources and dynamic changes of wiring cost incurred by different spare-cell selections. Based on mixed-integer linear programming (MILP), our formulation considers not only gate sizing and buffer insertion using spare cells, but also wire snaking. Experimental results based on five industrial designs show the effectiveness of our work. Our framework has been integrated into a commercial design flow.

[1]  Shao-Lun Huang,et al.  A robust ECO engine by resource-constraint-aware technology mapping and incremental routing optimization , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[2]  Jie-Hong Roland Jiang,et al.  TRECO: Dynamic technology remapping for timing Engineering Change Orders , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[3]  David Z. Pan,et al.  Skew Management of NBTI Impacted Gated Clock Trees , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Sachin S. Sapatnekar,et al.  Clock Skew Optimization , 1999 .

[5]  Igor L. Markov,et al.  Automating Postsilicon Debugging and Repair , 2007, Computer.

[6]  Yao-Wen Chang,et al.  ECO timing optimization using spare cells , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[7]  TingTing Hwang,et al.  New spare cell design for IR drop minimization in Engineering Change Order , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[8]  Der-San Chen,et al.  Applied Integer Programming: Modeling and Solution , 2010 .

[9]  Iris Hui-Ru Jiang,et al.  Matching-based minimum-cost spare cell selection for design changes , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[10]  Igor L. Markov,et al.  Automating post-silicon debugging and repair , 2007, ICCAD 2007.

[11]  Chih-Wei Chang,et al.  A Metal-Only-ECO Solver for Input-Slew and Output-Loading Violations , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  TingTing Hwang,et al.  Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Yao-Wen Chang,et al.  ECO Timing Optimization Using Spare Cells and Technology Remapping , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Yao-Wen Chang,et al.  Redundant-wires-aware ECO timing and mask cost optimization , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[15]  Malgorzata Marek-Sadowska,et al.  Engineering change using spare cells with constant insertion , 2007, ICCAD.

[16]  Artur Balasinski Optimization of sub-100-nm designs for mask cost reduction , 2004 .

[17]  Malgorzata Marek-Sadowska,et al.  ECO-Map: Technology remapping for post-mask ECO using simulated annealing , 2008, 2008 IEEE International Conference on Computer Design.

[18]  Baris Taskin,et al.  Timing Optimization Through Clock Skew Scheduling , 2000 .

[19]  Yao-Wen Chang,et al.  Simultaneous functional and timing ECO , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[20]  Ren-Song Tsay,et al.  An exact zero-skew clock routing algorithm , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..