Programmable thermal processing module for semiconductor substrates

This paper proposes the use of multivariable control methods to design a thermal platform for processing semiconductor substrates (semiconductor wafers and/or quartz reticles for photomasks) that far exceeds conventional methods in performance and flexibility. A thermal processing module for lithography applications is presented and demonstrated. The module comprises numerous (49) small, disjoint, and independently controlled heating elements capable of precise substrate spatial temperature control. The module also has a low thermal mass that allows for rapid element temperature variation, and hence transient control of substrate temperature. In addition, the module integrates active baking and chilling in a single unit, eliminating the need for substrate transfer between separate baking and chilling units and the temperature control limitations associated with it. The module is compared to a current state-of-the-art commercial system and shown to provide a factor of two improvement in transient temperature uniformity control on a six in quartz reticle using "hand-tuned" control techniques. A linear-quadratic regulator (LQR) feedback controller is also demonstrated and shown to provide peak-to-peak reticle temperature uniformity that does not exceed 1.6/spl deg/C throughout the transient bake.

[1]  Lennart Ljung,et al.  System Identification: Theory for the User , 1987 .

[2]  Thomas Kailath,et al.  Integrated bake/chill for photoresist processing , 1999, ICMTS 1999.

[3]  Gene F. Franklin,et al.  Digital control of dynamic systems , 1980 .

[4]  Charles D. Schaper,et al.  Control of spatial and transient temperature trajectories for photoresist processing , 1999 .

[5]  T. Kailath,et al.  Control systems for the nanolithography process , 1999, Proceedings of the 38th IEEE Conference on Decision and Control (Cat. No.99CH36304).

[6]  J. Parker,et al.  Temperature metrology for CD control in DUV lithography , 1997 .

[7]  Doug Downey,et al.  Use of exposure compensation to improve device performance for speed and binning based on electrical parametric feedback into fabrication design , 1997, Advanced Lithography.

[8]  K.G.T. Hollands,et al.  Correlation equations for free convection heat transfer in horizontal layers of air and water , 1975 .

[9]  Dale E. Seborg,et al.  Improvements In Photolithography Performance By Controlled Baking , 1988, Advanced Lithography.

[10]  R. Mohondro,et al.  CHARACTERIZING COAT, BAKE, AND DEVELOP PROCESSES , 1993 .

[11]  R. Stengel Stochastic Optimal Control: Theory and Application , 1986 .

[12]  Paul W. Ackmann,et al.  Characterization of autofocus uniformity and precision on ASML steppers using the phase-shift focus monitor reticle , 1997, Advanced Lithography.

[13]  Chris A. Mack,et al.  Modeling of solvent evaporation effects for hot plate baking of photoresist , 1994, Advanced Lithography.