Algorithm level recomputing using allocation diversity: a registertransfer level approach to time redundancy-based concurrent errordetection

In this paper, the authors propose an algorithm-level time redundancy-based concurrent error detection (CED) scheme against permanent and transient faults by exploiting the hardware allocation diversity at the register transfer level. Although the normal computation and the recomputation are carried out on the same data path, the operation-to-operator allocation for the normal computation is different from the operation-to-operator allocation for the recomputation. The authors show that the proposed scheme provides very good CED capability with very low area overhead.

[1]  R. Ramaswami,et al.  Book Review: Design and Analysis of Fault-Tolerant Digital Systems , 1990 .

[2]  Michael Nicolaidis,et al.  Fault-Secure Parity Prediction Arithmetic Operators , 1997, IEEE Des. Test Comput..

[3]  Ramesh Karri,et al.  Algorithm level re-computing with shifted operands-a register transfer level concurrent error detection technique , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[4]  Earl E. Swartzlander,et al.  Error-correcting Goldschmidt dividers using time shared TMR , 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

[5]  Niraj K. Jha,et al.  Behavioral synthesis of fault secure controller/datapaths using aliasing probability analysis , 1996, Proceedings of Annual Symposium on Fault Tolerant Computing.

[6]  Chin-Long Wey,et al.  Concurrent error detection in high speed carry-free division using alternative input data , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[7]  A. Orailoglu,et al.  Scheduling with rollback constraints in high-level synthesis of self-recovering ASICs , 1992, [1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing.

[8]  Ramesh Karri,et al.  Introspection: A register transfer level technique for cocurrent error detection and diagnosis in data dominated designs , 2001, TODE.

[9]  Barry W. Johnson,et al.  Efficient use of time and hardware redundancy for concurrent error detection in a 32-bit VLSI adder , 1988 .

[10]  Miodrag Potkonjak,et al.  Heterogeneous BISR-approach using system level synthesis flexibility , 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

[11]  Hans-Joachim Wunderlich,et al.  Synthesizing Fast, Online-Testable Control Units , 1998, IEEE Des. Test Comput..

[12]  Vishwani D. Agrawal,et al.  Register-transfer level fault modeling and test evaluation techniques for VLSI circuits , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[13]  Janak H. Patel,et al.  Concurrent Error Detection in ALU's by Recomputing with Shifted Operands , 1982, IEEE Transactions on Computers.

[14]  Barry W. Johnson Design & analysis of fault tolerant digital systems , 1988 .

[15]  Earl E. Swartzlander,et al.  Efficient time redundancy for error correcting inner-product units and convolvers , 1995, Proceedings of International Workshop on Defect and Fault Tolerance in VLSI.

[16]  S. S. Ravi,et al.  Efficient algorithms for analyzing and synthesizing fault-tolerant datapaths , 1995, Proceedings of International Workshop on Defect and Fault Tolerance in VLSI.

[17]  Miodrag Potkonjak,et al.  On-line fault detection for bus-based field programmable gate arrays , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[18]  Edward J. McCluskey,et al.  Combinational logic synthesis for diversity in duplex systems , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[19]  Miodrag Potkonjak,et al.  Enhanced FPGA reliability through efficient run-time fault reconfiguration , 2000, IEEE Trans. Reliab..

[20]  E.E. Swartzlander,et al.  Fault tolerant Newton-Raphson dividers using time shared TMR , 1996, Proceedings. 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[21]  Janak H. Patel,et al.  Concurrent Error Detection in Multiply and Divide Arrays , 1983, IEEE Transactions on Computers.

[22]  Miodrag Potkonjak,et al.  High level synthesis techniques for efficient built-in-self-repair , 1993, Proceedings of 1993 IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems.

[23]  Edward J. McCluskey,et al.  Which concurrent error detection scheme to choose ? , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[24]  Suchai Thanawastien,et al.  An SFS Berger check prediction ALU and its application to self-checking processor designs , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[25]  Nur A. Touba,et al.  Synthesis of Circuits with Low-Cost Concurrent Error Detection Based on Bose-Lin Codes , 1999, J. Electron. Test..

[26]  Liang-Gee Chen,et al.  Concurrent error detection in array multipliers by BIDO , 1995 .

[27]  Gernot Metze,et al.  Fault Detection Capabilities of Alternating Logic , 1978, IEEE Transactions on Computers.

[28]  G. Russell,et al.  A 32 bit RISC processor with concurrent error detection , 1998, Proceedings. 24th EUROMICRO Conference (Cat. No.98EX204).

[29]  Ramesh Karri,et al.  Time-constrained scheduling during high-level synthesis of fault-secure VLSI digital signal processors , 1996, IEEE Trans. Reliab..