A generic FPGA prototype for on-chip systems with network-on-chip communication infrastructure

As System-on-Chips (SoCs) grow in complexity and size, proposals of networks-on-chip (NoCs) as the on-chip communication infrastructure are justified by reusability, scalability, and energy efficiency provided by the interconnection networks. Simulation and mathematical analysis offer flexibility for the evaluations under various network configurations. However, the accuracy of such analyzing methods largely depends on the approximations made. On the other hand, prototyping can be used to improve the evaluation accuracy by bringing the design closer to reality. In this paper, we propose a FPGA prototype that is general enough to model different video-processing SoCs where different cores communicate via NoC. To model NoC, we accurately implement a fully-synthesized on-chip router supporting multiple virtual channels. For the processing nodes, on the other side, we propose a general and simple traffic generator capable of modeling different synthetic functions (i.e. Poisson and self-similar). Indeed, the application traffic is modeled using 1-D hybrid cellular automata which can effectively generate high quality pseudorandom patterns. Finally, for the energy efficiency, the proposed prototype is capable to support multiple frequency regions. To realize the voltage-frequency island partitioned SoC, we use the utilities that Xilinx FPGA platform offers to design Globally Synchronous Locally Asynchronous (GALS) systems via Delay-Locked Loop elements.

[1]  Siddharth Garg,et al.  System-Level Process Variation Driven Throughput Analysis for Single and Multiple Voltage-Frequency Island Designs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[2]  Parimal Pal Chaudhuri,et al.  A class of two-dimensional cellular automata and their applications in random pattern testing , 1994, J. Electron. Test..

[3]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[4]  Hoi-Jun Yoo,et al.  A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[5]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[6]  Radu Marculescu,et al.  Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[7]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[8]  Paul H. Bardell Analysis of cellular automata used as pseudorandom pattern generators , 1990, Proceedings. International Test Conference 1990.

[9]  Erik B. van der Tol,et al.  Mapping of MPEG-4 decoding on a flexible architecture platform , 2001, IS&T/SPIE Electronic Imaging.

[10]  Radu Marculescu,et al.  On-chip traffic modeling and synthesis for MPEG-2 video applications , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[12]  Radu Marculescu,et al.  Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.

[13]  Siddharth Garg,et al.  System-level throughput analysis for process variation aware multiple voltage-frequency island designs , 2008, TODE.

[14]  Luca Benini,et al.  A Buffer-Sizing Algorithm for Network-on-Chips with Multiple Voltage-Frequency Islands , 2012, J. Electr. Comput. Eng..

[15]  Radu Marculescu,et al.  "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Henry Hoffmann,et al.  The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.

[17]  Sudeep Pasricha,et al.  VISION: a framework for voltage island aware synthesis of interconnection networks-on-chip , 2011, GLSVLSI '11.

[18]  Radu Marculescu,et al.  An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[19]  Luca Benini,et al.  NoC topology synthesis for supporting shutdown of voltage islands in SoCs , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[20]  Radu Marculescu,et al.  Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip , 2012, JETC.

[21]  Li-Shiuan Peh,et al.  A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.

[22]  Diana Marculescu,et al.  Power Management of Voltage/Frequency Island-Based Systems Using Hardware-Based Methods , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[23]  Radu Marculescu,et al.  Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: A system-level perspective , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[24]  William J. Dally,et al.  A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[25]  Rudy Lauwereins,et al.  Highly scalable network on chip for reconfigurable systems , 2003, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748).

[26]  Giovanni De Micheli,et al.  An Outlook on Design Technologies for Future Integrated Systems , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[28]  Fernando Gehm Moraes,et al.  Application driven traffic modeling for NoCs , 2006, SBCCI '06.

[29]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Satoshi Goto,et al.  Voltage island-driven power optimization for application specific network-on-chip design , 2012, GLSVLSI '12.

[31]  Walter Willinger,et al.  Self-similarity through high-variability: statistical analysis of Ethernet LAN traffic at the source level , 1997, TNET.