Novel Low Cost, Double-and-Triple-Node-Upset-Tolerant Latch Designs for Nano-scale CMOS

This paper presents two novel low cost, double-and-triple-node-upset tolerant latch designs. First, a novel low cost and double-node-upset (DNU) completely tolerant (LCDNUT) latch design is proposed. The latch mainly comprises a storage module (SM) feeding back to a 3-input C-element. The SM mainly consists of eight input-split inverters. Since the inputs of the C-element cannot be simultaneously flipped, the latch tolerates any DNU in the SM. When a single node in the SM and the output node are affected, the latch can self-recover from the DNU. Second, to completely tolerate any triple-node-upset (TNU), by replacing the C-element in the LCDNUT latch with a two-level error-interceptive module constructed from triple C-elements, a novel low cost and TNU completely tolerant (LCTNUT) latch design is proposed. Simulation results demonstrate the robustness of the proposed latches. Furthermore, due to the use of a high-speed transmission path, the clock-gating technology and fewer transistors, the proposed LCTNUT latch reduces the delay-power-area product by approximately 99.39% and has a low sensitivity to the process-voltage-and-temperature variation effects, compared with currently the only TNU completely tolerant latch design.

[1]  Huaguo Liang,et al.  An SEU resilient, SET filterable and cost effective latch in presence of PVT variations , 2016, Microelectron. Reliab..

[2]  N. Seifert,et al.  Robust system design with built-in soft-error resilience , 2005, Computer.

[3]  Yiorgos Tsiatouhas,et al.  Soft error interception latch: double node charge sharing SEU tolerant design , 2015 .

[4]  Hai Huang,et al.  Novel Radiation-Hardened-by-Design (RHBD) 12T Memory Cell for Aerospace Applications in Nanoscale CMOS Technology , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Sylvain Clerc,et al.  New D-Flip-Flop Design in 65 nm CMOS for Improved SEU and Low Power Overhead at System Level , 2013, IEEE Transactions on Nuclear Science.

[6]  Mahdi Fazeli,et al.  Single Event Multiple Upset (SEMU) Tolerant Latch Designs in Presence of Process and Temperature Variations , 2015, J. Circuits Syst. Comput..

[7]  Huaguo Liang,et al.  A Self-Recoverable, Frequency-Aware and Cost-Effective Robust Latch Design for Nanoscale CMOS Technology , 2015, IEICE Trans. Electron..

[8]  Kiamal Z. Pekmestzi,et al.  DIRT latch: A novel low cost double node upset tolerant latch , 2017, Microelectron. Reliab..

[9]  Yuanqing Li,et al.  Double Node Upsets Hardened Latch Circuits , 2015, J. Electron. Test..

[10]  Maryam Shojaei Baghini,et al.  Robust Soft Error Tolerant CMOS Latch Configurations , 2016, IEEE Transactions on Computers.

[11]  Santosh Kumar Vishvakarma,et al.  Stable, Reliable, and Bit-Interleaving 12T SRAM for Space Applications: A Device Circuit Co-Design , 2017, IEEE Transactions on Semiconductor Manufacturing.

[12]  Ahmad Patooghy,et al.  Low energy single event upset/single event transient-tolerant latch for deep subMicron technologies , 2009, IET Comput. Digit. Tech..

[13]  Huaguo Liang,et al.  Double-Node-Upset-Resilient Latch Design for Nanoscale CMOS Technology , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Mehdi B. Tahoori,et al.  Design of Defect and Fault-Tolerant Nonvolatile Spintronic Flip-Flops , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  P. E. Dodd,et al.  Physics of Multiple-Node Charge Collection and Impacts on Single-Event Characterization and Soft Error Rate Prediction , 2013, IEEE Transactions on Nuclear Science.

[16]  Kostas Tsoumanis,et al.  Delta DICE: A Double Node Upset resilient latch , 2015, 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS).

[17]  Fabrizio Lombardi,et al.  Design and Performance Evaluation of Radiation Hardened Latches for Nanoscale CMOS , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Huaguo Liang,et al.  A High Performance SEU Tolerant Latch , 2015, J. Electron. Test..

[19]  Xiaoxuan She,et al.  SEU Tolerant Latch Based on Error Detection , 2012, IEEE Transactions on Nuclear Science.

[20]  Cecilia Metra,et al.  High-Performance Robust Latches , 2010, IEEE Transactions on Computers.

[21]  Spyros Tragoudas,et al.  Radiation Hardened Latch Designs for Double and Triple Node Upsets , 2017, IEEE Transactions on Emerging Topics in Computing.

[22]  M. Gadlage,et al.  Soft Errors Induced by High-Energy Electrons , 2017, IEEE Transactions on Device and Materials Reliability.

[23]  Ken Choi,et al.  High Performance, Low Cost, and Robust Soft Error Tolerant Latch Designs for Nanoscale CMOS Technology , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.