Aging and leakage tradeoff in VLSI circuits

Bias Temperature Instability (BTI) has become a serious reliability issue for digital circuits. BTI-induced transistor aging degrades transistor performance over time and may eventually induce circuit failure due to timing variations. The leakage power dissipation is another concern as technology scales. While multiple Vth and pin reordering are know as to reduce power leakage, both methods would affect transistor aging. In this paper, we propose an integer linear programming (ILP) based method to optimize VLSI circuits by considering aging-leakage tradeoff. The experimental results show up to 84% leakage power saving within the delay degradation constraint.

[1]  S. John,et al.  NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETs] , 2003, IEEE International Electron Devices Meeting 2003.

[2]  Mohamed I. Elmasry,et al.  Input Vector Reordering for Leakage Power Reduction in FPGAs , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Min Chen,et al.  Asymmetric Aging and Workload Sensitive Bias Temperature Instability Sensors , 2012, IEEE Design & Test of Computers.

[4]  Yu Cao,et al.  Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[5]  P. Nicollian,et al.  Material dependence of hydrogen diffusion: implications for NBTI degradation , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[6]  Wei Zhang,et al.  NBTI-aware circuit node criticality computation , 2013, JETC.

[7]  K. Yamaguchi,et al.  The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling , 1999, 1999 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.99CH36325).

[8]  Massoud Pedram,et al.  Design and Multicorner Optimization of the Energy-Delay Product of CMOS Flip–Flops Under the Negative Bias Temperature Instability Effect , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Takayasu Sakurai,et al.  Analysis and future trend of short-circuit power , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Yu Wang,et al.  Leakage Power and Circuit Aging Cooptimization by Gate Replacement Techniques , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Xiaowei Li,et al.  Leakage current estimation of CMOS circuit with stack effect , 2008, Journal of Computer Science and Technology.

[12]  Kenneth M. Butler,et al.  A design-for-reliability approach based on grading library cells for aging effects , 2013, 2013 IEEE International Test Conference (ITC).

[13]  Hai Zhou,et al.  Leakage power optimization with dual-V/sub th/ library in high-level synthesis , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[14]  S. Natarajan,et al.  Impact of negative bias temperature instability on digital circuit reliability , 2002, 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320).

[15]  Yu Wang,et al.  Minimizing leakage power in aging-bounded high-level synthesis with design time multi-Vth assignment , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[16]  Yu Cao,et al.  An efficient method to identify critical gates under circuit aging , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[17]  C.H. Kim,et al.  An Analytical Model for Negative Bias Temperature Instability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[18]  B.C. Paul,et al.  Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.

[19]  John M. Carulli,et al.  Impact of negative bias temperature instability on product parametric drift , 2004, 2004 International Conferce on Test.

[20]  Ing-Chao Lin,et al.  Leakage and Aging Optimization Using Transmission Gate-Based Technique , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Yu Cao,et al.  Predictive Modeling of the NBTI Effect for Reliable Design , 2006, IEEE Custom Integrated Circuits Conference 2006.