Process-induced skew variation for scaled 2-D and 3-D ICs
暂无分享,去创建一个
[1] W. C. Elmore. The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .
[2] Yoshiaki Toyoshima,et al. Methodology of MOSFET characteristics fluctuation description using BSIM3v3 SPICE model for statistical circuit simulations , 1998, IWSM. 1998 3rd International Workshop on Statistical Metrology (Cat. No.98EX113).
[3] David Blaauw,et al. Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.
[4] Chandramouli V. Kashyap,et al. Block-based Static Timing Analysis with Uncertainty , 2003, ICCAD.
[5] Eby G. Friedman,et al. Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.
[6] Taewhan Kim,et al. Clock tree embedding for 3D ICs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[7] Siddharth Garg,et al. 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs , 2009, 2009 10th International Symposium on Quality Electronic Design.
[8] Xin Zhao,et al. Buffered clock tree synthesis for 3D ICs under thermal variations , 2008, 2008 Asia and South Pacific Design Automation Conference.
[9] Keith A. Bowman,et al. Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[10] Narayanan Vijaykrishnan,et al. Thermally Robust Clocking Schemes for 3D Integrated Circuits , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[11] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[12] W. Dehaene,et al. Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.
[13] Sherief Reda,et al. Reducing the leakage and timing variability of 2D ICs using 3D ICs , 2009, ISLPED.
[14] S. Nassif,et al. Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[15] Majid Sarrafzadeh,et al. Minimal buffer insertion in clock trees with skew and slew rate constraints , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[16] Kurt Keutzer,et al. Impact of systematic spatial intra-chip gate length variability on performance of high-speed digital circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[17] Xin Zhao,et al. Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[18] Kimberly Ryan,et al. Cadence Design Systems Inc. , 1993 .
[19] Filipp Akopyan,et al. Variability in 3-D integrated circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[20] V. Zolotov,et al. Statistical clock skew analysis considering intradie-process variations , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[21] Xiaohong Jiang,et al. Statistical skew modeling for general clock distribution networks in presence of process variations , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[22] Rajendran Panda,et al. A timing methodology considering within-die clock skew variations , 2008, 2008 IEEE International SOC Conference.
[23] P. Zarkesh-Ha,et al. Characterization and modeling of clock skew with process variations , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).
[24] Eby G. Friedman,et al. Clock distribution networks for 3-D ictegrated Circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.