Power-bandwidth trade-off on TSV array in 3D IC and TSV-RDL junction design challenges

Through-silicon-via (TSV) enables vertical connectivity between stacked chips or interposer and is a key technology for three-dimensional (3D) ICs. In this paper, we study the signal integrity issues of TSV-based 3D IC with high-speed signaling based on 3D electromagnetic field solver and SPICE simulations. Unlike other existing works, our study focuses on an array of TSVs and includes power and bandwidth trade-off between different signaling and termination techniques, such as single-ended, differential and reduced-swing signaling. From our study, to achieve the best power efficiency, unterminated single-ended reduced-swing signaling should be applied, while terminated single-ended signaling can provide the maximum bandwidth. Beyond TSV, critical design challenges for the junction structure between TSVs and RDL traces are also revealed and analyzed. Result shows that at 20GHz, the fanout-like junction structure could cause more than 10dB return loss (S11) degradation when changing TSV pitch from 50μm to 200μm and even contribute more insertion loss (S21) than the TSV itself.

[1]  Yusuf Leblebici,et al.  Design and feasibility of multi-Gb/s quasi-serial vertical interconnects based on TSVs for 3D ICs , 2010, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.

[2]  Junho Lee,et al.  High-Frequency Scalable Electrical Model and Analysis of a Through Silicon Via (TSV) , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[3]  Yong Liu,et al.  An 8x 10-Gb/s Source-Synchronous I/O System Based on High-Density Silicon Carrier Interconnects , 2012, IEEE Journal of Solid-State Circuits.

[4]  Taigon Song,et al.  Modeling and analysis of coupling between TSVs, metal, and RDL interconnects in TSV-based 3D IC with silicon interposer , 2009, 2009 11th Electronics Packaging Technology Conference.

[5]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[6]  Yong Liu,et al.  A compact low-power 3D I/O in 45nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[7]  Zheng Xu,et al.  Crosstalk evaluation, suppression and modeling in 3D through-strata-via (TSV) network , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[8]  Yi Xu,et al.  Characterizing the Spread of Correlated Failures in Large Wireless Networks , 2010, 2010 Proceedings IEEE INFOCOM.