High-Performance, Low-Power Resonant Clocking: Embedded tutorial

Clock distribution networks consume a significant portion of on-chip power. Traditional buffered clock distribution power is limited by frequency, capacitance, and activity rates. Resonant clock distributions can reduce this power by “recycling” energy on-chip and reducing the overall clock power. This tutorial introduces recent techniques for distributed-LC, traveling wave, and standing wave resonant clock distributions. In particular, the tutorial discusses the recent developments and open research problems. The tutorial covers both circuits, computer-aided design algorithms and methodologies for resonant clocking.

[1]  Jiang Hu,et al.  Modeling, optimization and control of rotary traveling-wave oscillator , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[2]  Vinayak Honkote,et al.  CROA: Design and Analysis of the Custom Rotary Oscillatory Array , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Saleh Osman,et al.  Phase-Noise Analysis in Rotary Traveling-Wave Oscillators Using Simple Physical Model , 2010, IEEE Transactions on Microwave Theory and Techniques.

[4]  Matthew R. Guthaus,et al.  Distributed resonant clock grid synthesis (ROCKS) , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[5]  Guilherme Flach,et al.  High-performance clock mesh optimization , 2012, TODE.

[6]  K.L. Shepard,et al.  A 4.6GHz resonant global clock distribution network , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[7]  Marios C. Papaefthymiou,et al.  Two-phase resonant clock distribution , 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).

[8]  Michael S. McCorquodale,et al.  A 16-bit low-power microcontroller with monolithic MEMS-LC clocking , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[9]  K.A. Jenkins,et al.  The clock distribution of the Power4 microprocessor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[10]  Kenneth L. Shepard,et al.  Design of resonant global clock distributions , 2003, Proceedings 21st International Conference on Computer Design.

[11]  S. Lipa,et al.  Rotary traveling-wave oscillator arrays: a new clock technology , 2001 .

[12]  Suhwan Kim,et al.  A resonant clock generator for single-phase adiabatic systems , 2001, ISLPED '01.

[13]  Vernon L. Chi Salphasic Distribution of Clock Signals for Synchronous Systems , 1994, IEEE Trans. Computers.

[14]  Vinayak Honkote,et al.  Skew-aware capacitive load balancing for low-power zero clock skew rotary oscillatory array , 2010, 2010 IEEE International Conference on Computer Design.

[15]  Baris Taskin,et al.  Synchronization scheme for brick-based rotary oscillator arrays , 2012, GLSVLSI '12.

[16]  Vinayak Honkote,et al.  PEEC based parasitic modeling for power analysis on custom rotary rings , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[17]  Baris Taskin,et al.  Process variation sensitivity of the Rotary Traveling Wave Oscillator , 2011, 2011 12th International Symposium on Quality Electronic Design.

[18]  Vinayak Honkote,et al.  Steiner tree based rotary clock routing with bounded skew and capacitive load balancing , 2011, 2011 Design, Automation & Test in Europe.

[19]  Jiang Hu,et al.  Integrated Placement and Skew Optimization for Rotary Clocking , 2006 .

[20]  Matthew R. Guthaus,et al.  A methodology for local resonant clock synthesis using LC-assisted local clock buffers , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[21]  Michael S. McCorquodale,et al.  A 16-bit mixed-signal microsystem with integrated CMOS-MEMS clock reference , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[22]  Baris Taskin,et al.  Custom topology rotary clock router with tree subnetworks , 2009, TODE.

[23]  C. Patrick Yue,et al.  Design of a 10GHz clock distribution network using coupled standing-wave oscillators , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[24]  Tony Wong,et al.  A rotary-traveling-wave-oscillator-based all-digital PLL with a 32-phase embedded phase-to-digital converter in 65nm CMOS , 2011, 2011 IEEE International Solid-State Circuits Conference.

[25]  Baris Taskin,et al.  Look-Up Table Based Low Power Rotary Traveling Wave Oscillator Design Considering the Skin Effect , 2010, J. Low Power Electron..

[26]  Matthew R. Guthaus,et al.  Distributed LC Resonant Clock Grid Synthesis , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[27]  Vinayak Honkote,et al.  ZeROA: Zero Clock Skew Rotary Oscillatory Array , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[28]  Chung-Kuan Cheng,et al.  On-chip global clock distribution using directional rotary traveling-wave oscillator , 2009, 2009 IEEE 18th Conference on Electrical Performance of Electronic Packaging and Systems.

[29]  Matthew R. Guthaus Distributed LC resonant clock tree synthesis , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[30]  Baris Taskin,et al.  ROA-brick topology for rotary resonant clocks , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

[31]  T.Y. Nguyen,et al.  Resonant clocking using distributed parasitic capacitance , 2004, IEEE Journal of Solid-State Circuits.

[32]  Ricardo Reis,et al.  Non-uniform clock mesh optimization with linear programming buffer insertion , 2010, Design Automation Conference.

[33]  Matthew R. Guthaus,et al.  Library-aware resonant clock synthesis (LARCS) , 2012, DAC Design Automation Conference 2012.

[34]  Vinayak Honkote,et al.  Custom rotary clock router , 2008, 2008 IEEE International Conference on Computer Design.

[35]  Marios C. Papaefthymiou,et al.  Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor , 2013, IEEE Journal of Solid-State Circuits.

[36]  Sunil P. Khatri,et al.  Clock Distribution Scheme using Coplanar Transmission Lines , 2008, 2008 Design, Automation and Test in Europe.

[37]  Xun Liu,et al.  Implementing Multiphase Resonant Clocking on a Finite-Impulse Response Filter , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.