Energy-Aware Data Allocation With Hybrid Memory for Mobile Cloud Systems
暂无分享,去创建一个
Zhi Chen | Meikang Qiu | Xiao Qin | Zhong Ming | Jianwei Niu | J. Niu | Meikang Qiu | X. Qin | Zhong Ming | Zhi Chen
[1] Ronald G. Dreslinski,et al. The M5 Simulator: Modeling Networked Systems , 2006, IEEE Micro.
[2] Yiran Chen,et al. A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[3] Gu-Yeon Wei,et al. Process Variation Tolerant 3T1D-Based Cache Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[4] Rajeev Barua,et al. Dynamic allocation for scratch-pad memory using compile-time decisions , 2006, TECS.
[5] H. Peter Hofstee,et al. Power efficient processor architecture and the cell processor , 2005, 11th International Symposium on High-Performance Computer Architecture.
[6] Alvin Wen-Yu Su,et al. A hardware/software framework for instruction and data scratchpad memory allocation , 2010, TACO.
[7] Chita R. Das,et al. Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[8] Engin Ipek,et al. Resistive computation: avoiding the power wall with low-leakage, STT-MRAM based computing , 2010, ISCA.
[9] Xiaoxia Wu,et al. Hybrid cache architecture with disparate memory technologies , 2009, ISCA '09.
[10] Daniel A. Brokenshire,et al. Introduction to the Cell Broadband Engine Architecture , 2007, IBM J. Res. Dev..
[11] Jian-Gang Zhu,et al. Magnetoresistive Random Access Memory: The Path to Competitiveness and Scalability , 2008, Proceedings of the IEEE.
[12] Wei-Che Tseng,et al. Towards energy efficient hybrid on-chip Scratch Pad Memory with non-volatile memory , 2011, 2011 Design, Automation & Test in Europe.
[13] Mahmut T. Kandemir,et al. Dynamic management of scratch-pad memory space , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[14] Rajeev Barua,et al. An optimal memory allocation scheme for scratch-pad-based embedded systems , 2002, TECS.
[15] Meikang Qiu,et al. Online optimization for scheduling preemptable tasks on IaaS cloud systems , 2012, J. Parallel Distributed Comput..
[16] Richard T. Witek,et al. A 160 MHz 32 b 0.5 W CMOS RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[17] Sumesh Udayakumaran,et al. Compiler-decided dynamic memory allocation for scratch-pad based embedded systems , 2003, CASES '03.
[18] Meikang Qiu,et al. Optimal Data Allocation for Scratch-Pad Memory on Embedded Multi-core Systems , 2011, 2011 International Conference on Parallel Processing.
[19] Jun Yang,et al. Energy reduction for STT-RAM using early write termination , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[20] Meikang Qiu,et al. Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems , 2009, TODE.
[21] Narayanan Vijaykrishnan,et al. Exploiting Heterogeneity for Energy Efficiency in Chip Multiprocessors , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[22] Nikil D. Dutt,et al. Efficient utilization of scratch-pad memory in embedded processor applications , 1997, Proceedings European Design and Test Conference. ED & TC 97.
[23] M. Hosomi,et al. A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[24] Peter Marwedel,et al. Scratchpad memory: a design alternative for cache on-chip memory in embedded systems , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).