Spintronics and Security: Prospects, Vulnerabilities, Attack Models, and Preventions

The experimental demonstration of current-driven spin-transfer torque (STT) for switching magnets and push domain walls (DWs) in magnetic nanowires have opened up new avenues for spintronic computations. These devices have shown great promise for logic and memory applications due to superior energy efficiency and nonvolatility. It has been noted that the nonlinear dynamics of DWs in the physical magnetic system is an untapped source of entropy that can be leveraged for hardware security. The inherent noise, spatial, and temporal randomness in the magnetic system can be employed in conjunction with microscopic and macroscopic properties to realize novel hardware security primitives. Due to simplicity of integration, the spintronic circuits can be an add-on to the silicon substrate to complement the existing CMOS-based security and trust infrastructures. This paper investigates the prospects of spintronics in hardware security by exploring the security-specific properties and novel security primitives realized using spintronic building blocks. As spintronic elements enter the mainstream computing platforms, they are exposed to emerging attacks that were infeasible before. This paper covers the security vulnerabilities, security and privacy attack models, and possible countermeasures to enable safe computing environment using spintronics.

[1]  Stuart A. Wolf,et al.  Spintronics : A Spin-Based Electronics Vision for the Future , 2009 .

[2]  Chua Memristor-The Missing Circuit Element LEON 0 , 1971 .

[3]  Weisheng Zhao,et al.  Read disturbance issue for nanoscale STT-MRAM , 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).

[4]  L. Berger Motion of a magnetic domain wall traversed by fast‐rising current pulses , 1992 .

[5]  F. Hu,et al.  Magnetic entropy change in Ni51.5Mn22.7Ga25.8 alloy , 2000 .

[6]  Stuart S. P. Parkin,et al.  Oscillatory dependence of current-driven magnetic domain wall motion on current pulse length , 2006, Nature.

[7]  M.H. Kryder,et al.  After Hard Drives—What Comes Next? , 2009, IEEE Transactions on Magnetics.

[8]  Sandra Dominikus,et al.  A Highly Regular and Scalable AES Hardware Architecture , 2003, IEEE Trans. Computers.

[9]  Ingrid Verbauwhede,et al.  Architectural Optimization for a 1.82Gbits/sec VLSI Implementation of the AES Rijndael Algorithm , 2001, CHES.

[10]  Yibo Li,et al.  Switching Characteristics of $\hbox{Ru/HfO}_{2} \hbox{/TiO}_{2-x}\hbox{/Ru}$ RRAM Devices for Digital and Analog Nonvolatile Memory Applications , 2012, IEEE Electron Device Letters.

[11]  G. Edward Suh,et al.  Physical Unclonable Functions for Device Authentication and Secret Key Generation , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[12]  Patrick Schaumont,et al.  A Systematic Method to Evaluate and Compare the Performance of Physical Unclonable Functions , 2011, IACR Cryptol. ePrint Arch..

[13]  Mehdi Baradaran Tahoori,et al.  Read disturb fault detection in STT-MRAM , 2014, 2014 International Test Conference.

[14]  Saptarshi Mandal,et al.  Switching dynamics and charge transport studies of resistive random access memory devices , 2012 .

[15]  J. Nguenang,et al.  Chaos Appearance during Domain Wall Motion under Electronic Transfer in Nanomagnets , 2013 .

[16]  Jacques Miltat,et al.  Domain wall dynamics in nanowires , 2002 .

[17]  Chik How Tan,et al.  Analysis and Enhancement of Random Number Generator in FPGA Based on Oscillator Rings , 2008, 2008 International Conference on Reconfigurable Computing and FPGAs.

[18]  G. Edward Suh,et al.  Flash Memory for Ubiquitous Hardware Security Functions: True Random Number Generation and Device Fingerprints , 2012, 2012 IEEE Symposium on Security and Privacy.

[19]  I. Verbauwhede,et al.  A dynamic and differential CMOS logic with signal independent power consumption to withstand differential power analysis on smart cards , 2002, Proceedings of the 28th European Solid-State Circuits Conference.

[20]  S. Datta,et al.  Proposal for an all-spin logic device with built-in memory. , 2010, Nature nanotechnology.

[21]  Steve H. Weingart Physical Security Devices for Computer Subsystems: A Survey of Attacks and Defences , 2000, CHES.

[22]  Kaushik Roy,et al.  Cognitive computing with spin-based neural networks , 2012, DAC Design Automation Conference 2012.

[23]  Chip-Hong Chang,et al.  Highly reliable memory-based Physical Unclonable Function using Spin-Transfer Torque MRAM , 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

[24]  Mark Mohammad Tehranipoor,et al.  Identification of recovered ICs using fingerprints from a light-weight on-chip sensor , 2012, DAC Design Automation Conference 2012.

[25]  K. Roy,et al.  Spin neuron for ultra low power computational hardware , 2012, 70th Device Research Conference.

[26]  Mircea R. Stan,et al.  The Promise of Nanomagnetics and Spintronics for Future Logic and Universal Memory , 2010, Proceedings of the IEEE.

[27]  R. Williams,et al.  How We Found The Missing Memristor , 2008, IEEE Spectrum.

[28]  Kaushik Roy,et al.  Proposal For Neuromorphic Hardware Using Spin Devices , 2012, ArXiv.

[29]  Ying Su,et al.  A 1.6pJ/bit 96% Stable Chip-ID Generating Circuit using Process Variations , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[30]  K. Roy,et al.  Boolean and non-Boolean computation with spin devices , 2012, 2012 International Electron Devices Meeting.

[31]  J. Moodera,et al.  Temperature dependence of magnetoresistance and surface magnetization in ferromagnetic tunnel junctions , 1998 .

[32]  Kaushik Roy,et al.  Spin based neuron-synapse module for ultra low power programmable computational networks , 2012, The 2012 International Joint Conference on Neural Networks (IJCNN).

[33]  L. Berger,et al.  Exchange interaction between ferromagnetic domain wall and electric current in very thin metallic films , 1984 .

[34]  Saptarshi Mandal,et al.  Effects of Mg-Doping on ${\rm HfO}_{2}$ -Based ReRAM Device Switching Characteristics , 2013, IEEE Electron Device Letters.

[35]  Srinivas Devadas,et al.  Identification and authentication of integrated circuits , 2004, Concurr. Pract. Exp..

[36]  Christof Paar,et al.  MOLES: Malicious off-chip leakage enabled by side-channels , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[37]  John Wawrzynek,et al.  A Comparison of the AES Candidates Amenability to FPGA Implementation , 2000, AES Candidate Conference.

[38]  Swaroop Ghosh,et al.  Attack resilient architecture to replace embedded Flash with STTRAM in homogeneous IoTs , 2016, ArXiv.

[39]  J. Nowak,et al.  High-bias backhopping in nanosecond time-domain spin-torque switches of MgO-based magnetic tunnel junctions , 2009 .

[40]  Elisabeth Oswald,et al.  An ASIC Implementation of the AES SBoxes , 2002, CT-RSA.

[41]  C. Werndl What Are the New Implications of Chaos for Unpredictability? , 2009, The British Journal for the Philosophy of Science.

[42]  Garrett S. Rose,et al.  Foundations of memristor based PUF architectures , 2013, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

[43]  D. Stewart,et al.  The missing memristor found , 2008, Nature.

[44]  Christof Paar,et al.  An FPGA Implementation and Performance Evaluation of the AES Block Cipher Candidate Algorithm Finalists , 2000, AES Candidate Conference.

[45]  Máire O'Neill,et al.  High Performance Single-Chip FPGA Rijndael Algorithm Implementations , 2001, CHES.

[46]  Swaroop Ghosh,et al.  Data privacy in non-volatile cache: Challenges, attack models and solutions , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

[47]  Miodrag Potkonjak,et al.  Nano Meets Security: Exploring Nanoelectronic Devices for Security Applications , 2015, Proceedings of the IEEE.

[48]  Dmytro Apalkov,et al.  Spin-transfer switching in MgO-based magnetic tunnel junctions , 2006 .

[49]  R. Hertel,et al.  Domain-wall induced phase shifts in spin waves. , 2004, Physical review letters.

[50]  Kirill N. Alekseev,et al.  Dynamical chaos in magnetic systems , 1992 .

[51]  C. Rettner,et al.  Dynamics of Magnetic Domain Walls Under Their Own Inertia , 2010, Science.

[52]  Swaroop Ghosh,et al.  Synergistic circuit and system design for energy-efficient and robust domain wall caches , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[53]  Dhireesha Kudithipudi,et al.  RRAM Motifs for Mitigating Differential Power Analysis Attacks (DPA) , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[54]  Milos Drutarovský,et al.  Two Methods of Rijndael Implementation in Reconfigurable Hardware , 2001, CHES.

[55]  Boris Skoric,et al.  Read-Proof Hardware from Protective Coatings , 2006, CHES.

[56]  Elaine B. Barker,et al.  A Statistical Test Suite for Random and Pseudorandom Number Generators for Cryptographic Applications , 2000 .

[57]  Marten van Dijk,et al.  A technique to build a secret key in integrated circuits for identification and authentication applications , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).

[58]  Ya-Chin King,et al.  A Contact-Resistive Random-Access-Memory-Based True Random Number Generator , 2012, IEEE Electron Device Letters.

[59]  Saptarshi Mandal,et al.  Study of Synaptic Behavior in Doped Transition Metal Oxide-Based Reconfigurable Devices , 2013, IEEE Transactions on Electron Devices.

[60]  Mircea R. Stan,et al.  Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM) , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[61]  L. Berger,et al.  Current‐induced displacements and precession of a Bloch wall in Ni‐Fe thin films , 1993 .

[62]  Akashi Satoh,et al.  A Compact Rijndael Hardware Architecture with S-Box Optimization , 2001, ASIACRYPT.

[63]  Swaroop Ghosh,et al.  DWM-PUF: A low-overhead, memory-based security primitive , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[64]  Hsien-Hsin S. Lee,et al.  Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping , 2010, ISCA.

[65]  Mark Mohammad Tehranipoor,et al.  Path-delay fingerprinting for identification of recovered ICs , 2012, 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

[66]  Vijay Kumar,et al.  Efficient Rijndael Encryption Implementation with Composite Field Arithmetic , 2001, CHES.

[67]  Trevor Mudge,et al.  True Random Number Generator With a Metastability-Based Quality Control , 2008, IEEE J. Solid State Circuits.

[68]  Swaroop Ghosh Design methodologies for high density domain wall memory , 2013, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

[69]  P. Weiss L'hypothèse du champ moléculaire et la propriété ferromagnétique , 1907 .

[70]  H. Okuno Chaos and energy loss of nonlinear domain wall motion , 1997 .

[71]  Paulo P. Freitas,et al.  Observation of s‐d exchange force between domain walls and electric current in very thin Permalloy films , 1985 .

[72]  Magnetization instability driven by spin torques , 2005 .

[73]  D Petit,et al.  Magnetic Domain-Wall Logic , 2005, Science.

[74]  G. Edward Suh,et al.  Extracting secret keys from integrated circuits , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[75]  Michael Rodeh,et al.  Cache memory control system and method , 1993 .

[76]  R. Ohba,et al.  Physical random number generator based on MOS structure after soft breakdown , 2004, IEEE Journal of Solid-State Circuits.

[77]  Garrett S. Rose,et al.  A write-time based memristive PUF for hardware security applications , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[78]  Chris H. Kim,et al.  A Magnetic Tunnel Junction based True Random Number Generator with conditional perturb and real-time output probability tracking , 2014, 2014 IEEE International Electron Devices Meeting.

[79]  Shufeng Zhang,et al.  Identification of transverse spin currents in noncollinear magnetic structures. , 2004, Physical review letters.

[80]  Kaushik Roy,et al.  Generating true random numbers using on-chip complementary polarizer spin-transfer torque magnetic tunnel junctions , 2014, 72nd Device Research Conference.

[81]  Stephen J. Wolf,et al.  The elusive memristor: properties of basic electrical circuits , 2008, 0807.3994.

[82]  Hao Yu,et al.  Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[83]  J. Slaughter,et al.  A Fully Functional 64 Mb DDR3 ST-MRAM Built on 90 nm CMOS Technology , 2013, IEEE Transactions on Magnetics.

[84]  Swaroop Ghosh,et al.  Modeling and analysis of domain wall dynamics for robust and low-power embedded memory , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[85]  Miodrag Potkonjak,et al.  Nano-PPUF: A Memristor-Based Security Primitive , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[86]  A. Raghunathan,et al.  Modeling the Temperature Dependence of Hysteresis Based on Jiles–Atherton Theory , 2009, IEEE Transactions on Magnetics.

[87]  S. Sarma,et al.  Spintronics: Fundamentals and applications , 2004, cond-mat/0405528.

[88]  Swarup Bhunia,et al.  Hardware Trojan: Threats and emerging solutions , 2009, 2009 IEEE International High Level Design Validation and Test Workshop.

[89]  Anirudh Iyengar,et al.  Side channel attacks on STTRAM and low-overhead countermeasures , 2016, 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

[90]  L. Berger,et al.  Exchange forces between domain wall and electric current in permalloy films of variable thickness , 1988 .

[91]  Marc Joye,et al.  Hessian Elliptic Curves and Side-Channel Attacks , 2001, CHES.

[92]  Daniel E. Holcomb,et al.  Power-Up SRAM State as an Identifying Fingerprint and Source of True Random Numbers , 2009, IEEE Transactions on Computers.

[93]  Vincent von Kaenel,et al.  Dual True Random Number Generators for Cryptographic Applications Embedded on a 200 Million Device Dual CPU SoC , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[94]  Vijayalakshmi Srinivasan,et al.  Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[95]  Kuei-Hung Shen,et al.  Racetrack Memory: A high-performance, low-cost, non-volatile memory based on magnetic domain walls , 2011, 2011 International Electron Devices Meeting.

[96]  Jorge Guajardo,et al.  FPGA Intrinsic PUFs and Their Use for IP Protection , 2007, CHES.

[97]  Swaroop Ghosh,et al.  Self-correcting STTRAM under magnetic field attacks , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[98]  Srinivas Devadas,et al.  Silicon physical random functions , 2002, CCS '02.

[99]  Ariel J. Feldman,et al.  Lest we remember: cold-boot attacks on encryption keys , 2008, CACM.

[100]  Miodrag Potkonjak,et al.  Hardware security strategies exploiting nanoelectronic circuits , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[101]  J. Holleman,et al.  A 3 $\mu$W CMOS True Random Number Generator With Adaptive Floating-Gate Offset Cancellation , 2008, IEEE Journal of Solid-State Circuits.

[102]  Swaroop Ghosh,et al.  Performance Impact of Magnetic and Thermal Attack on STTRAM and Low-Overhead Mitigation Techniques , 2016, ISLPED.

[103]  E. G. Chester,et al.  Design of an on–chip random number generator using metastability , 2002, Proceedings of the 28th European Solid-State Circuits Conference.

[104]  L. Berger,et al.  Current‐induced displacements of Bloch walls in Ni‐Fe films of thickness 120–740 nm , 1994 .

[105]  Kris Gaj,et al.  Comparison of the Hardware Performance of the AES Candidates Using Reconfigurable Hardware , 2000, AES Candidate Conference.

[106]  J. Alvin Connelly,et al.  A noise-based IC random number generator for applications in cryptography , 2000 .

[107]  Farinaz Koushanfar,et al.  A Survey of Hardware Trojan Taxonomy and Detection , 2010, IEEE Design & Test of Computers.

[108]  P. Chevalier,et al.  Racetrack memory cell array with integrated magnetic tunnel junction readout , 2011, 2011 International Electron Devices Meeting.

[109]  Yan Solihin,et al.  i-NVMM: A secure non-volatile main memory system with incremental encryption , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[110]  Hiroshi Imamura,et al.  Spin dice: A scalable truly random number generator based on spintronics , 2014 .

[111]  J. Katine,et al.  Role of pinning in current driven domain wall motion in wires with perpendicular anisotropy , 2008 .

[112]  E. Ott Chaos in Dynamical Systems: Contents , 2002 .

[113]  Guido Meier,et al.  Direct imaging of stochastic domain-wall motion driven by nanosecond current pulses. , 2007, Physical review letters.

[114]  Yu Zheng,et al.  ScanPUF: Robust ultralow-overhead PUF using scan chain , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[115]  S. Le,et al.  A statistical study of magnetic tunnel junctions for high-density spin torque transfer-MRAM (STT-MRAM) , 2008, 2008 IEEE International Electron Devices Meeting.

[116]  S. Parkin,et al.  Magnetic Domain-Wall Racetrack Memory , 2008, Science.

[117]  Cong Xu,et al.  When to forget: A system-level perspective on STT-RAMs , 2012, 17th Asia and South Pacific Design Automation Conference.

[118]  A. MacDonald,et al.  Thermally assisted current-driven domain-wall motion. , 2006, Physical review letters.

[119]  Jovan Dj. Golic,et al.  High-Speed True Random Number Generation with Logic Gates Only , 2007, CHES.

[120]  G Hrkac,et al.  Nanowire spintronics for storage class memories and logic , 2011, Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences.

[121]  Mahdi Jamali,et al.  Metastable magnetic domain wall dynamics , 2011, 1110.0175.

[122]  Chaitali Chakrabarti,et al.  Exploiting resistive cross-point array for compact design of physical unclonable function , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[123]  Sanjukta Bhanja,et al.  MRAM PUF: A Novel Geometry Based Magnetic PUF With Integrated CMOS , 2015, IEEE Transactions on Nanotechnology.

[124]  Rekha Govindaraj,et al.  Spintronics for associative computation and hardware security , 2015, 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS).

[125]  Jeyavijayan Rajendran,et al.  Hardware security: Threat models and metrics , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[126]  K. Roy,et al.  Spin-Based Neuron Model With Domain-Wall Magnets as Synapse , 2012, IEEE Transactions on Nanotechnology.

[127]  Patrick Ndai,et al.  Design Paradigm for Robust Spin-Torque Transfer Magnetic RAM (STT MRAM) From Circuit/Architecture Perspective , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[128]  Swaroop Ghosh,et al.  Spintronic PUFs for Security, Trust, and Authentication , 2016, JETC.

[129]  Paul C. Kocher,et al.  Differential Power Analysis , 1999, CRYPTO.

[130]  William J. Gallagher,et al.  Bias voltage and temperature dependence of magnetotunneling effect , 1998 .

[131]  M. Nakayama,et al.  Spin transfer switching in TbCoFe∕CoFeB∕MgO∕CoFeB∕TbCoFe magnetic tunnel junctions with perpendicular magnetic anisotropy , 2008 .

[132]  S. Yuasa,et al.  Spin-torque diode effect in magnetic tunnel junctions , 2005, Nature.

[133]  Patrick Schaumont,et al.  A large scale characterization of RO-PUF , 2010, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[134]  Garrett S. Rose,et al.  Nanoelectronics and Hardware Security , 2014, Network Science and Cybersecurity.

[135]  Masamitsu Hayashi,et al.  Current driven dynamics of magnetic domain walls in permalloy nanowires , 2006 .

[136]  Chip-Hong Chang,et al.  Exploiting Process Variations and Programming Sensitivity of Phase Change Memory for Reconfigurable Physical Unclonable Functions , 2014, IEEE Transactions on Information Forensics and Security.

[137]  Weiwei Lin,et al.  Giant spin-dependent thermoelectric effect in magnetic tunnel junctions. , 2012, Nature communications.

[138]  J.D. Golic,et al.  New Methods for Digital Generation and Postprocessing of Random Data , 2006, IEEE Transactions on Computers.

[139]  Miodrag Potkonjak,et al.  Nanoelectronic Solutions for Hardware Security , 2012, IACR Cryptology ePrint Archive.

[140]  Lawrence T. Pileggi,et al.  mLogic: Ultra-low voltage non-volatile logic circuits using STT-MTJ devices , 2012, DAC Design Automation Conference 2012.

[141]  Swarup Bhunia,et al.  MECCA: A Robust Low-Overhead PUF Using Embedded Memory Array , 2011, CHES.

[142]  Anirudh Iyengar,et al.  Domain Wall Magnets for Embedded Memory and Hardware Security , 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[143]  Kaushik Roy,et al.  Dynamic Bit-Width Adaptation in DCT: An Approach to Trade Off Image Quality and Computation Energy , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[144]  Miron Abramovici,et al.  Integrated circuit security: new threats and solutions , 2009, CSIIRW '09.

[145]  Alessandro Trifiletti,et al.  A High-Speed Oscillator-Based Truly Random Number Source for Cryptographic Applications on a Smart Card IC , 2003, IEEE Trans. Computers.

[146]  Paul C. Kocher,et al.  The intel random number generator , 1999 .

[147]  Kaushik Roy,et al.  Future cache design using STT MRAMs for improved energy efficiency: Devices, circuits and architecture , 2012, DAC Design Automation Conference 2012.

[148]  Spin-Polarized Current Induced Torque in Magnetic Tunnel Junctions , 2005, cond-mat/0508713.