DyPhase: A Dynamic Phase Change Memory Architecture with Symmetric Write Latency

A major challenge for the widespread adoption of phase change memory (PCM) as main memory is its asymmetric write latency. Generally, for a PCM, the latency of a SET operation (i.e., an operation that writes “1”) is 2–5 times longer than the latency of a RESET operation (i.e., an operation that writes “0”). For this reason, the average write latency of a PCM system is limited by the high-latency SET operations. This paper presents a novel PCM architecture called DyPhase, which uses partial-SET operations instead of the conventional SET operations to introduce a symmetry in write latency, thereby increasing write performance and throughput. However, use of partial-SET decreases data retention time. As a remedy to this problem, DyPhase employs novel distributed refresh operations in PCM that leverage the available power budget to periodically rewrite the stored data with minimal performance overhead. Unfortunately, the use of periodic refresh operations increases the write rate of the memory, which in turn accelerates memory degradation and decreases its lifetime. DyPhase overcomes this shortcoming by utilizing a proactive in-situ self-annealing (PISA) technique that periodically heals degraded memory cells, resulting in decelerated degradation and increased memory lifetime. Experiments with PARSEC benchmarks indicate that our DyPhase architecture-based hybrid dynamic random access memory (DRAM)–PCM memory system, when enabled with PISA, yields orders of magnitude higher lifetime, 8.3% less CPI, and 44.3% less EDP on average over other hybrid DRAM–PCM memory systems that utilize PCM architectures from prior works.

[1]  Hyunjin Lee,et al.  Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[2]  A. Pirovano,et al.  Scaling analysis of phase-change memory technology , 2003, IEEE International Electron Devices Meeting 2003.

[3]  David Blaauw,et al.  Exploring DRAM organizations for energy-efficient and resilient exascale memories , 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

[4]  Yifeng Zhu,et al.  Making Write Less Blocking for Read Accesses in Phase Change Memory , 2012, 2012 IEEE 20th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.

[5]  Yu Hu,et al.  Partial-SET: Write speedup of PCM main memory , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[6]  W. C. Chien,et al.  A novel inspection and annealing procedure to rejuvenate phase change memory from cycling-induced degradations for storage class memory applications , 2014, 2014 IEEE International Electron Devices Meeting.

[7]  Tao Li,et al.  Characterizing and mitigating the impact of process variations on phase change based memory systems , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[8]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[9]  Sudeep Pasricha,et al.  Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in Hybrid Memory Cube Architectures , 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

[10]  Jun Yang,et al.  A low power and reliable charge pump design for Phase Change Memories , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[11]  Sunggu Lee,et al.  Optimizing Video Application Design for Phase-Change RAM-Based Main Memory , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Luis A. Lastras,et al.  PreSET: Improving performance of phase change memories by exploiting asymmetry in write times , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[13]  Xiang Gao,et al.  Write-back aware shared last-level cache management for hybrid main memory , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[14]  Tao Zhang,et al.  NVMain 2.0: A User-Friendly Memory Simulator to Model (Non-)Volatile Memory Systems , 2015, IEEE Computer Architecture Letters.

[15]  Tao Li,et al.  Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system , 2011, 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN).

[16]  Sudeep Pasricha,et al.  3D-ProWiz: An Energy-Efficient and Optically-Interfaced 3D DRAM Architecture with Reduced Data Access Overhead , 2015, IEEE Transactions on Multi-Scale Computing Systems.

[17]  Bruce Jacob,et al.  Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[18]  Sudeep Pasricha,et al.  3-D WiRED: A Novel WIDE I/O DRAM With Energy-Efficient 3-D Bank Organization , 2015, IEEE Design & Test.

[19]  Sunggu Lee,et al.  Write performance improvement by hiding R drift latency in phase-change RAM , 2012, DAC Design Automation Conference 2012.

[20]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[21]  Yiran Chen,et al.  3M-PCM: Exploiting multiple write modes MLC phase change main memory in embedded systems , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[22]  Chengmo Yang,et al.  Segment and Conflict Aware Page Allocation and Migration in DRAM-PCM Hybrid Main Memory , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Jun Yang,et al.  Improving write operations in MLC phase change memory , 2012, IEEE International Symposium on High-Performance Comp Architecture.

[24]  Kailash Gopalakrishnan,et al.  The inner workings of phase change memory: Lessons from prototype PCM devices , 2010, 2010 IEEE Globecom Workshops.

[25]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[26]  Vijayalakshmi Srinivasan,et al.  Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.

[27]  Karin Strauss,et al.  Preventing PCM banks from seizing too much power , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[28]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  Jongman Kim,et al.  An energy- and performance-aware DRAM cache architecture for hybrid DRAM/PCM main memory systems , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

[30]  Jiayin Li,et al.  Write-once-memory-code phase change memory , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[31]  Hyokyung Bahn,et al.  CLOCK-DWF: A Write-History-Aware Page Replacement Algorithm for Hybrid PCM and DRAM Memory Architectures , 2014, IEEE Transactions on Computers.

[32]  Vijayalakshmi Srinivasan,et al.  Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[33]  Yifeng Zhu,et al.  Accelerating write by exploiting PCM asymmetries , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[34]  Sunggu Lee,et al.  Dynamic Wear Leveling for Phase-Change Memories With Endurance Variations , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[35]  Moinuddin K. Qureshi,et al.  Improving read performance of Phase Change Memories via Write Cancellation and Write Pausing , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[36]  Jiayin Li,et al.  WOM-Code Solutions for Low Latency and High Endurance in Phase Change Memory , 2016, IEEE Transactions on Computers.

[37]  Sudeep Pasricha,et al.  A novel 3D graphics DRAM architecture for high-performance and low-energy memory accesses , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

[38]  Vijayalakshmi Srinivasan,et al.  Efficient scrub mechanisms for error-prone emerging memories , 2012, IEEE International Symposium on High-Performance Comp Architecture.

[39]  S. Lee,et al.  A novel cell technology using N-doped GeSbTe films for phase change RAM , 2003, 2003 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.03CH37407).

[40]  Jun Yang,et al.  FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.