Testability Estimation Based on Controllability and Observability Parameters

In the paper a method for estimation the circuit testability on the register transfer level (RTL) is presented. The method allows to perform fast testability estimation in linear time complexity (regarding the number of components and interconnects of the circuit). Proposed approach is based on utilization of controllability and observability measurement for estimation of overall circuit testability. The application of developed method is demonstrated in a software tool for the development of RTL benchmark circuits with predefined testability properties. The results gained by our testability analysis method are compared with the results of professional ATPG tool. Experiments show the good correlation of the results obtained by our method and professional ATPG tool with significantly lower time complexity when our algorithm is used

[1]  Bruno Rouzeyre,et al.  Analyzing testability from behavioral to RT level , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[2]  João Paulo Teixeira,et al.  A probabilistic method for the computation of testability of RTL constructs , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[3]  Daniel G. Saab,et al.  Accessibility analysis on data flow graph: an approach to design for testability , 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[4]  Josef Strnadel,et al.  Testability Analysis and Improvements of Register-Transfer Level Digital Circuits , 2012, Comput. Artif. Intell..

[5]  Niraj K. Jha,et al.  Genesis: a behavioral synthesis system for hierarchical testability , 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

[6]  Zdenek Kotásek,et al.  FITTest BENCH06: A New Set of Benchmark Circuits Reflecting Testability Properties , 2006, 2006 IEEE Design and Diagnostics of Electronic Circuits and systems.

[7]  Giovanni Squillero,et al.  RT-Level ITC'99 Benchmarks and First ATPG Results , 2000, IEEE Des. Test Comput..

[8]  Michael S. Hsiao,et al.  Efficient sequential atpg for functional rtl circuits , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[9]  Zdenek Kotásek,et al.  Automatic discovery of RTL benchmark circuits with predefined testability properties , 2005, 2005 NASA/DoD Conference on Evolvable Hardware (EH'05).

[10]  Giovanni Squillero,et al.  High-level observability for effective high-level ATPG , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[11]  Josef Strnadel,et al.  Evolutionary Design of Synthetic RTL Benchmark Circuits , 2004 .

[12]  Jacob A. Abraham,et al.  FACTOR: a hierarchical methodology for functional test generation and testability analysis , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[13]  Yiorgos Makris,et al.  TRANSPARENT: a system for RTL testability analysis, DFT guidance and hierarchical test generation , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[14]  Giovanni Squillero,et al.  Effective techniques for high-level ATPG , 2001, Proceedings 10th Asian Test Symposium.

[15]  Josef Strnadel VIRTA: VIRTUAL PORT BASED REGISTER-TRANSFER LEVEL TESTABILITY ANALYSIS AND IMPROVEMENTS , 2005 .