Hysteresis effect in pass-transistor-based, partially depleted SOI CMOS circuits

This paper presents a detailed study on the hysteretic delay variations of pass-transistor-based circuits with floating-body partially depleted silicon-on-insulator CMOS devices. It is shown that the pass-transistor can be conditioned into a initial state with extremely high body voltage (exceeding the power supply voltage V/sub DD/), thus resulting in highly hysteretic delay variations when the body subsequently loses charges through the switching cycles. Basic physical mechanisms underlying the hysteretic circuit behavior and its frequency dependence are examined. Different initial states of the circuit are shown to cause large delay disparity at the beginning of the switching activity, yet they converge as the circuit approaches steady state. Use of a cross coupled dual-rail circuit configuration is shown to be very effective in reducing the hysteretic delay variation and its frequency dependence.

[1]  Yasuhiko Sasaki,et al.  Top-down pass-transistor logic design , 1996, IEEE J. Solid State Circuits.

[2]  Theodore W. Houston,et al.  A guide to simulation of hysteretic gate delays based on physical understanding [SOI logic] , 1998, 1998 IEEE International SOI Conference Proceedings (Cat No.98CH36199).

[3]  A. Wei,et al.  Minimizing floating-body-induced threshold voltage variation in partially depleted SOI CMOS , 1996, IEEE Electron Device Letters.

[4]  Ching-Te Chuang,et al.  Hysteresis effect in pass-transistor based partially-depleted SOI CMOS circuits , 1998, 1998 IEEE International SOI Conference Proceedings (Cat No.98CH36199).

[5]  R. Puri,et al.  SOI digital CMOS VLSI-a design perspective , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

[6]  J. Sleight,et al.  A 2.0 V, 0.35 /spl mu/m partially depleted SOI-CMOS technology , 1997, International Electron Devices Meeting. IEDM Technical Digest.

[7]  M. Sherony,et al.  Scalability of SOI technology into 0.13 /spl mu/m 1.2 V CMOS generation , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[8]  D. Kramer,et al.  A 580 MHz RISC microprocessor in SOI , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).

[9]  Ching-Te Chuang,et al.  SOI for digital CMOS VLSI: design considerations and advances , 1998, Proc. IEEE.

[10]  Kazuo Yano,et al.  A 3.8-ns CMOS 16*16-b multiplier using complementary pass-transistor logic , 1990 .

[11]  Ching-Te Chuang,et al.  A dynamic body discharge technique for SOI circuit applications , 1999, 1999 IEEE International SOI Conference. Proceedings (Cat. No.99CH36345).

[12]  Makoto Suzuki,et al.  A 1.5-ns 32-b CMOS ALU in double pass-transistor logic , 1993 .

[13]  Ching-Te Chuang,et al.  Dual-mode Parasitic Bipolar Effect In Dynamic CVSL XOR Circuit With Floating-body Partially-depleted SOI Devices , 1997, Proceedings of Technical Papers. International Symposium on VLSI Technology, Systems, and Applications.

[14]  J. Sleight,et al.  A compact Schottky body contact technology for SOI transistors , 1997 .

[15]  C. Tretz,et al.  Hysteresis in floating-body PD/SOI CMOS circuits , 1999, 1999 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers. (Cat. No.99TH8453).

[16]  E. Leobandung,et al.  A 7.9/5.5 psec room/low temperature SOI CMOS , 1997, International Electron Devices Meeting. IEDM Technical Digest.

[17]  R. Flaker,et al.  A 0.25 /spl mu/m CMOS SOI technology and its application to 4 Mb SRAM , 1997, International Electron Devices Meeting. IEDM Technical Digest.

[18]  A. Wei,et al.  Design methodology for minimizing hysteretic V/sub T/-variation in partially-depleted SOI CMOS , 1997, International Electron Devices Meeting. IEDM Technical Digest.

[19]  J. Sleight,et al.  A compact Schottky body contact technology for SOI transistors , 1997, International Electron Devices Meeting. IEDM Technical Digest.

[20]  M.M. Pelella,et al.  Floating body effects in partially-depleted SOI CMOS circuits , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.