Thermal-Aware Non-slicing VLSI Floorplanning Using a Smart Decision-Making PSO-GA Based Hybrid Algorithm

Floorplanning is an important physical design step in the ASIC design flow. It is the process of estimating the area to be occupied by various blocks in a layout together with a precise interconnection pattern. In this work, a smart decision-making hybrid particle swarm optimization-genetic algorithm that aims at reducing the area, wirelength, and hotspot by distributing the temperature evenly across the chip is presented. B*-tree is used to generate the initial floorplan and later a PSO-GA based hybrid algorithm is used to obtain an optimal placement solution. Temperature-driven floorplanning is considered at the perturbation stage to separate the hotspots, thereby reducing the average and maximum temperature. The experimental results of the proposed algorithm are compared with other stochastic algorithms using MCNC and Alpha processor floorplan benchmark circuits. The result shows that the proposed algorithm performs efficient floorplanning, with reduced average and peak temperature.

[1]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[2]  N. Nivethitha,et al.  Thermal aware modern VLSI floorplanning , 2012, 2012 International Conference on Devices, Circuits and Systems (ICDCS).

[3]  Duanbing Chen,et al.  A new heuristic algorithm for rectangle packing , 2007, Comput. Oper. Res..

[4]  Takeshi Yoshimura,et al.  An enhanced perturbing algorithm for floorplan design using the O-tree representation , 2000, ISPD '00.

[5]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[6]  André Ivanov,et al.  Sequence pair based voltage island floorplanning , 2011, 2011 International Green Computing Conference and Workshops.

[7]  Wei Liu,et al.  Temperature dependent wire delay estimation in floorplanning , 2011, 2011 NORCHIP.

[8]  José Ignacio Hidalgo,et al.  3D thermal-aware floorplanner using a MOEA approximation , 2013, Integr..

[9]  Yao-Wen Chang,et al.  Modern floorplanning based on fast simulated annealing , 2005, ISPD '05.

[10]  Narayanan Vijaykrishnan,et al.  Thermal-aware floorplanning using genetic algorithms , 2005, Sixth international symposium on quality electronic design (isqed'05).

[11]  Harikrishnan Ramiah,et al.  Variable-Order Ant System for VLSI multiobjective floorplanning , 2013, Appl. Soft Comput..

[12]  D. E. Goldberg,et al.  Genetic Algorithms in Search , 1989 .

[13]  Chun Lu,et al.  An improved GA and a novel PSO-GA-based hybrid algorithm , 2005, Inf. Process. Lett..

[14]  Israel Koren,et al.  Simulated Annealing Based Temperature Aware Floorplanning , 2007, J. Low Power Electron..

[15]  David E. Goldberg,et al.  Genetic Algorithms in Search Optimization and Machine Learning , 1988 .

[16]  A. Shakouri,et al.  Enabling power density and thermal-aware floorplanning , 2012, 2012 28th Annual IEEE Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).

[17]  Tsung-Ying Sun,et al.  Floorplanning based on particle swarm optimization , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[18]  S. Anand,et al.  Customized simulated annealing based decision algorithms for combinatorial optimization in VLSI floorplanning problem , 2011, Computational Optimization and Applications.

[19]  Yiming Li,et al.  Temperature Aware Floorplanning via Geometry Programming , 2008, 2008 11th IEEE International Conference on Computational Science and Engineering - Workshops.

[20]  C. Darwin On the Origin of Species by Means of Natural Selection: Or, The Preservation of Favoured Races in the Struggle for Life , 2019 .

[21]  Goldberg,et al.  Genetic algorithms , 1993, Robust Control Systems with Genetic Algorithms.

[22]  Guolong Chen,et al.  A PSO-based intelligent decision algorithm for VLSI floorplanning , 2010, Soft Comput..

[23]  M. Montaz Ali,et al.  A Hybrid Simulated Annealing Algorithm for Nonslicing VLSI Floorplanning , 2011, IEEE Transactions on Systems, Man, and Cybernetics, Part C (Applications and Reviews).

[24]  Yao-Wen Chang,et al.  Corner sequence - a P-admissible floorplan representation with a worst case linear-time packing scheme , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[25]  Ning Xu,et al.  Thermal-Aware Post Layout Voltage-Island Generation for 3D ICs , 2013, Journal of Computer Science and Technology.

[26]  Sarita V. Adve,et al.  AS SCALING THREATENS TO ERODE RELIABILITY STANDARDS, LIFETIME RELIABILITY MUST BECOME A FIRST-CLASS DESIGN CONSTRAINT. MICROARCHITECTURAL INTERVENTION OFFERS A NOVEL WAY TO MANAGE LIFETIME RELIABILITY WITHOUT SIGNIFICANTLY SACRIFICING COST AND PERFORMANCE , 2005 .

[27]  Yao-Wen Chang,et al.  Modern floorplanning based on B/sup */-tree and fast simulated annealing , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Kevin Skadron,et al.  Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[29]  Takeshi Yoshimura,et al.  An O-tree representation of non-slicing floorplan and its applications , 1999, DAC '99.

[30]  Maolin Tang,et al.  A Genetic Algorithm for VLSI Floorplanning Using O-Tree Representation , 2005, EvoWorkshops.

[31]  Sarita V. Adve,et al.  The impact of technology scaling on lifetime reliability , 2004, International Conference on Dependable Systems and Networks, 2004.