Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits

Sleep transistor (ST) insertion is a valuable leakage reduction technique in circuit standby mode. Fine-grain sleep transistor insertion (FGSTI) makes it easier to guarantee circuit functionality and improve circuit noise margins. In this paper, we introduce a novel two-phase FGSTI technique which consists of ST placement and ST sizing. These two phases are formally modeled using mixed integer linear programming (MILP) models. When the circuit timing relaxation is not large enough to assign ST everywhere, leakage feedback (LF) gates, which are used to avoid floating states, induce large area and dynamic power overhead. An extended multi-object ST placement model is further proposed to reduce the leakage current and the LF gate number simultaneously. Finally, heuristic algorithms are developed to speed up the ST placement phase. Our experimental results on the ISCAS'85 benchmarks reveal that: 1) the two-phase FGSTI technique achieves better results than the simultaneous ST placement and sizing method; 2) when the circuit timing relaxation varies from 0% to 5%, the multi-object ST placement model can achieve on average 4times-9times LF gate number reduction, while the leakage difference is only about 8% of original circuit leakage; 3) our heuristic algorithm is 1000times faster than the MILP method within an acceptable loss of accuracy.

[1]  Sarma B. K. Vrudhula,et al.  Algorithms for minimizing standby power in deep submicrometer, dual-Vt CMOS circuits , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Rong Luo,et al.  Simultaneous fine-grain sleep transistor placement and sizing for leakage optimization , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[3]  Ankur Srivastava,et al.  Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  A.P. Chandrakasan,et al.  A leakage reduction methodology for distributed MTCMOS , 2004, IEEE Journal of Solid-State Circuits.

[5]  Mark C. Johnson,et al.  Design and optimization of dual-threshold circuits for low-voltage low-power applications , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[6]  Wang Hui,et al.  Simultaneous Fine-grain Sleep Transistor Placement and Sizing for Leakage Optimization , 2006 .

[7]  Saibal Mukhopadhyay,et al.  Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.

[8]  M. Simonnet The Strong Law of Large Numbers , 1996 .

[9]  G.E. Moore,et al.  No exponential is forever: but "Forever" can be delayed! [semiconductor industry] , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[10]  Lei He,et al.  Distributed sleep transistors network for power reduction , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[11]  Kaushik Roy,et al.  Dynamic VTH Scaling Scheme for Active Leakage Power Reduction , 2002, DATE.

[12]  Shin'ichiro Mutoh,et al.  1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.

[13]  Yu Wang,et al.  Signal-Path-Level Dual-VT Assignment for Leakage Power Reduction , 2006, J. Circuits Syst. Comput..

[14]  Ronald L. Rivest,et al.  Introduction to Algorithms , 1990 .

[15]  Kaushik Roy,et al.  Dynamic V/sub TH/ scaling scheme for active leakage power reduction , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[16]  Vivek De,et al.  Forward body bias for microprocessors in 130nm technology generation and beyond , 2002, VLSIC 2002.

[17]  James Kao,et al.  Subthreshold leakage modeling and reduction techniques , 2002, ICCAD 2002.

[18]  Keith A. Bowman,et al.  A minimum total power methodology for projecting limits on CMOS GSI , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[19]  K. Chung The Strong Law of Large Numbers , 1951 .

[20]  A. Chandrakasan,et al.  MTCMOS sequential circuits , 2001, Proceedings of the 27th European Solid-State Circuits Conference.

[21]  Massoud Pedram,et al.  Leakage current reduction in CMOS VLSI circuits by input vector control , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Lei He,et al.  Distributed sleep transistor network for power reduction , 2003, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[23]  Shawki Areibi,et al.  Fast techniques for standby leakage reduction in MTCMOS circuits , 2004, IEEE International SOC Conference, 2004. Proceedings..

[24]  Kaushik Roy,et al.  Gate leakage reduction for scaled devices using transistor stacking , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[25]  David Blaauw,et al.  Discrete Vt assignment and gate sizing using a self-snapping continuous formulation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[26]  K. Roy,et al.  Modeling and estimation of total leakage current in nano-scaled-CMOS devices considering the effect of parameter variation , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

[27]  Mohamed I. Elmasry,et al.  Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique , 2002, DAC '02.

[28]  M.A. Bayoumi,et al.  Leakage sources and possible solutions in nanometer CMOS technologies , 2005, IEEE Circuits and Systems Magazine.

[29]  Anantha Chandrakasan,et al.  MTCMOS hierarchical sizing based on mutual exclusive discharge patterns , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[30]  Mahmut T. Kandemir,et al.  Formulation and validation of an energy dissipation model for the clock generation circuitry and distribution networks , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.