Approximation-Aware Coordinated Power/Performance Management for Heterogeneous Multi-cores
暂无分享,去创建一个
[1] Andreas Mitschele-Thiel,et al. Latency Critical IoT Applications in 5G: Perspective on the Design of Radio Interface and Network Architecture , 2017, IEEE Communications Magazine.
[2] David A. Patterson,et al. In-datacenter performance analysis of a tensor processing unit , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
[3] Vanchinathan Venkataramani,et al. Hierarchical power management for asymmetric multi-core in dark silicon era , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[4] Keshav Pingali,et al. Proactive Control of Approximate Programs , 2016, ASPLOS.
[5] Pedro Tomás,et al. A Framework for Application-Guided Task Management on Heterogeneous Embedded Systems , 2015, ACM Trans. Archit. Code Optim..
[6] Kai Ma,et al. PGCapping: Exploiting power gating for power capping and core lifetime balancing in CMPs , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).
[7] Donghee Han,et al. Power-aware task scheduling for big.LITTLE mobile processor , 2013, 2013 International SoC Design Conference (ISOCC).
[8] Henry Hoffmann,et al. Managing performance vs. accuracy trade-offs with loop perforation , 2011, ESEC/FSE '11.
[9] Henry Hoffmann,et al. Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments , 2010, ICAC '10.
[10] Pedro Tomás,et al. Performance-Aware Task Management and Frequency Scaling in Embedded Systems , 2014, 2014 IEEE 26th International Symposium on Computer Architecture and High Performance Computing.
[11] Anuj Pathania,et al. Integrated CPU-GPU power management for 3D mobile games , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[12] Muhammad Shafique,et al. Thermal optimization using adaptive approximate computing for video coding , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[13] Simon Holmbacka,et al. Core Level Utilization for Achieving Energy Efficiency in Heterogeneous Systems , 2017, 2017 25th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP).
[14] Tulika Mitra,et al. Approximation-aware scheduling on heterogeneous multi-core architectures , 2015, The 20th Asia and South Pacific Design Automation Conference.
[15] Axel Jantsch,et al. Approximation knob: Power Capping meets energy efficiency , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[16] Henry Hoffmann,et al. Minimizing energy under performance constraints on embedded platforms: resource allocation heuristics for homogeneous and single-ISA heterogeneous multi-cores , 2015, SIGBED.
[17] Henry Hoffmann,et al. Dynamic knobs for responsive power-aware computing , 2011, ASPLOS XVI.
[18] Luis Ceze,et al. Neural Acceleration for General-Purpose Approximate Programs , 2014, IEEE Micro.
[19] Pradip Bose,et al. Crank it up or dial it down: Coordinated multiprocessor frequency and folding control , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[20] Sherief Reda,et al. Pack & Cap: Adaptive DVFS and thread packing under power caps , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[21] Woongki Baek,et al. Green: a framework for supporting energy-conscious programming using controlled approximation , 2010, PLDI '10.
[22] Nikil D. Dutt,et al. SPARTA: Runtime task allocation for energy efficient heterogeneous manycores , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[23] Axel Jantsch,et al. The Dark Side of Silicon , 2017 .
[24] Heba Khdr,et al. TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[25] Axel Jantsch,et al. Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).