Variable-Pipeline-Stage Router
暂无分享,去创建一个
[1] Niraj K. Jha,et al. Die-level leakage power analysis of FinFET circuits considering process variations , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[2] Doug Burger,et al. Implementation and Evaluation of On-Chip Network Architectures , 2006, 2006 International Conference on Computer Design.
[3] M. Suzuoki,et al. Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor , 2006, IEEE Journal of Solid-State Circuits.
[4] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[5] Henry Hoffmann,et al. Evaluation of the Raw microprocessor: an exposed-wire-delay architecture for ILP and streams , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[6] G. O. Workman,et al. A process/physics-based compact model for nonclassical CMOS device and circuit design , 2004 .
[7] Tsu-Jae King,et al. FinFETs for nanoscale CMOS digital integrated circuits , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[8] Zheng Guo,et al. FinFET-based SRAM design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[9] Niraj K. Jha,et al. FinFET-based dynamic power management of on-chip interconnection networks through adaptive back-gate biasing , 2009, 2009 IEEE International Conference on Computer Design.
[10] Walter S. Scott,et al. Magic: A VLSI Layout System , 1984, 21st Design Automation Conference Proceedings.
[11] Anish Muttreja,et al. CMOS logic design with independent-gate FinFETs , 2007, 2007 25th International Conference on Computer Design.
[12] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[13] James Kao,et al. Subthreshold leakage modeling and reduction techniques , 2002, ICCAD 2002.
[14] N.K. Jha,et al. Temperature-Aware On-Chip Networks , 2006, IEEE Micro.
[15] Li Shang,et al. Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[16] Niraj K. Jha,et al. GARNET: A detailed on-chip network model inside a full-system simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.
[17] Soha Hassoun,et al. Gate sizing: finFETs vs 32nm bulk MOSFETs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[18] Sriram R. Vangal,et al. A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.
[19] Niraj K. Jha,et al. Design of ultra-low-leakage logic gates and flip-flops in high-performance FinFET technology , 2011, 2011 12th International Symposium on Quality Electronic Design.
[20] Niraj K. Jha,et al. Token flow control , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[21] Niraj K. Jha,et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS , 2007, ICCD.
[22] William J. Dally,et al. Smart Memories: a modular reconfigurable architecture , 2000, ISCA '00.
[23] Milo M. K. Martin,et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.
[24] David Wentzlaff,et al. Energy characterization of a tiled architecture processor with on-chip networks , 2003, ISLPED '03.
[25] Shubhendu S. Mukherjee,et al. The Alpha 21364 network architecture , 2001, HOT 9 Interconnects. Symposium on High Performance Interconnects.
[26] B. Nikolic,et al. FinFET SRAM with Enhanced Read / Write Margins , 2006, 2006 IEEE international SOI Conferencee Proceedings.
[27] N.K. Jha,et al. Toward Ideal On-Chip Communication Using Express Virtual Channels , 2008, IEEE Micro.
[28] Jaehyuk Huh,et al. Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture , 2003, IEEE Micro.
[29] Li Shang,et al. PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[30] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[31] Niraj K. Jha,et al. Low power system scheduling and synthesis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[32] Rajiv V. Joshi,et al. A High-Performance, Low Leakage, and Stable SRAM Row-Based Back-Gate Biasing Scheme in FinFET Technology , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
[33] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[34] Anish Muttreja,et al. Threshold Voltage Control through Multiple Supply Voltages for Power-Efficient FinFET Interconnects , 2008, 21st International Conference on VLSI Design (VLSID 2008).