A performance model of multicast communication in wormhole-routed networks on-chip

Collective communication operations form a part of overall traffic in most applications running on platforms employing direct interconnection networks. This paper presents a novel analytical model to compute communication latency of multicast as a widely used collective communication operation. The novelty of the model lies in its ability to predict the latency of the multicast communication in wormhole-routed architectures employing asynchronous multi-port routers scheme. The model is applied to the Quarc [17] NoC and its validity is verified by comparing the model predictions against the results obtained from a discrete-event simulator developed using OMNET++.

[1]  Leonard Kleinrock,et al.  Queueing Systems: Volume I-Theory , 1975 .

[2]  Joydeep Ghosh,et al.  A Comprehensive Analytical Model for Wormhole Routng in Multicomputer Systems , 1994, J. Parallel Distributed Comput..

[3]  Betty H. C. Cheng,et al.  Efficient Multicast in All-Port Wormhole-Routed Hypercubes , 1995, J. Parallel Distributed Comput..

[4]  Lionel M. Ni,et al.  Construction of optimal multicast trees based on the parameterized communication model , 1996, Proceedings of the 1996 ICPP Workshop on Challenges for Parallel Processing.

[5]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[6]  Mohamed Ould-Khaoua,et al.  A Performance Model of Broadcast Communication in Wormhole-Routed Hypercubes , 2000, MASCOTS.

[7]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[8]  Dhabaleswar K. Panda,et al.  Multidestination Message Passing in Wormhole k-ary n-cube Networks with Base Routing Conformed Paths , 1999, IEEE Trans. Parallel Distributed Syst..

[9]  Hong Xu,et al.  Optimal software multicast in wormhole-routed multistage networks , 1994, Supercomputing '94.

[10]  Xiaola Lin,et al.  Adaptive wormhole routing in hypercube multicomputers , 1993, Proceedings of 1993 5th IEEE Symposium on Parallel and Distributed Processing.

[11]  Mohamed Ould-Khaoua,et al.  An analytical model of wormhole-routed hypercubes under broadcast traffic , 2003, Perform. Evaluation.

[12]  Dhabaleswar K. Panda,et al.  Multidestination Message Passing Mechanism Conforming to Base Wormhole Routing Scheme , 1994, PCRCW.

[13]  Axel Jantsch,et al.  Connection-oriented multicasting in wormhole-switched networks on chip , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[14]  William J. Dally,et al.  The torus routing chip , 2005, Distributed Computing.

[15]  Wim Vanderbauwhede,et al.  Quarc: A Novel Network-On-Chip Architecture , 2008, 2008 14th IEEE International Conference on Parallel and Distributed Systems.

[16]  Jian Liu,et al.  Interconnect intellectual property for Network-on-Chip (NoC) , 2004, J. Syst. Archit..

[17]  M. Coppola,et al.  Spidergon: a novel on-chip communication network , 2004, 2004 International Symposium on System-on-Chip, 2004. Proceedings..

[18]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[19]  Wim Vanderbauwhede,et al.  An Analytical Performance Model for the Spidergon NoC , 2007, 21st International Conference on Advanced Information Networking and Applications (AINA '07).

[20]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .