Redes-em-Chip : arquiteturas e modelos para avaliação de área e desempenho

[1]  Luigi Carro,et al.  The impact of NoC reuse on the testing of core-based systems , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[2]  Lionel M. Ni,et al.  A survey of wormhole routing techniques in direct networks , 1993, Computer.

[3]  Luigi Carro,et al.  Communication architectures for system-on-chip , 2001, Symposium on Integrated Circuits and Systems Design.

[4]  T. Sakurai,et al.  Approximation of wiring delay in MOSFET LSI , 1983, IEEE Journal of Solid-State Circuits.

[5]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[6]  Pierre Guerrier,et al.  Un réseau d'Interconnexion pour systèmes Intégrés , 2000 .

[7]  Timothy G. Mattson An Overview of the Intel TFLOPS Supercomputer , 1998 .

[8]  Alain Greiner,et al.  Cycle precise core based hardware/software system simulation with predictable event propagation , 1997, EUROMICRO 97. Proceedings of the 23rd EUROMICRO Conference: New Frontiers of Information Technology (Cat. No.97TB100167).

[9]  Leonard Kleinrock,et al.  Virtual Cut-Through: A New Computer Communication Switching Technique , 1979, Comput. Networks.

[10]  E. Anderson,et al.  Performance of the CRAY T3E Multiprocessor , 1997, ACM/IEEE SC 1997 Conference (SC'97).

[11]  Luigi Carro,et al.  Análise e Seleção de Redes de Interconexão para Síntese de Sistemas no Ambiente S3E2S , 2001, RITA.

[12]  Nick McKeown,et al.  Designing and implementing a fast crossbar scheduler , 1999, IEEE Micro.

[13]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[14]  Sujit Dey,et al.  On-chip communication architecture for OC-768 network processors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[15]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[16]  R. E. Kessler,et al.  Cray T3D: a new dimension for Cray Research , 1993, Digest of Papers. Compcon Spring.

[17]  George Kornaros,et al.  ATLAS I: implementing a single-chip ATM switch with backpressure , 1999, IEEE Micro.

[18]  William J. Dally,et al.  Express Cubes: Improving the Performance of k-Ary n-Cube Interconnection Networks , 1989, IEEE Trans. Computers.

[19]  Mike Galles Spider: a high-speed network interconnect , 1997, IEEE Micro.

[20]  Jon Beecroft,et al.  Meiko CS-2 Interconnect Elan-Elite Design , 1994, Parallel Comput..

[21]  Charles L. Seitz,et al.  A family of routing and communication chips based on the Mosaic , 1993 .

[22]  Rajeev Barua,et al.  Maps: a compiler-managed memory system for raw machines , 1999, ISCA.

[23]  Charles L. Seitz,et al.  Myrinet: A Gigabit-per-Second Local Area Network , 1995, IEEE Micro.

[24]  Reinaldo A. Bergamaschi,et al.  The A to Z of SoCs , 2002, ICCAD 2002.

[25]  L. A. Hornak,et al.  Interconnections/micro-networks for integrated microelectronics , 1992, [Conference Record] GLOBECOM '92 - Communications for Global Users: IEEE.

[26]  Vijay K. Madisetti,et al.  Interface Design for Core-Based Systems , 1997, IEEE Des. Test Comput..

[27]  William J. Dally,et al.  A Delay Model for Router Microarchitectures , 2001, IEEE Micro.

[28]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[29]  Chita R. Das,et al.  Impact of virtual channels and adaptive routing on application performance , 2001, SIGCPR '01.

[30]  Altamiro Amadeu Susin,et al.  SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..

[31]  David Shoemaker,et al.  NuMesh: An architecture optimized for scheduled communication , 2004, The Journal of Supercomputing.

[32]  Luca Benini,et al.  Powering networks on chips , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[33]  Radu Marculescu,et al.  System-level point-to-point communication synthesis using floorplanning information [SoC] , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[34]  U. Ruckert,et al.  High level estimation of the area and power consumption of on-chip interconnects , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).

[35]  Ian Watson,et al.  The Manchester prototype dataflow computer , 1985, CACM.

[36]  Luigi Carro,et al.  Making Java Work for Microcontroller Applications , 2001, IEEE Des. Test Comput..

[37]  M. J. Quinn,et al.  Parallel Computing: Theory and Practice , 1994 .

[38]  Reinaldo A. Bergamaschi,et al.  Designing systems-on-chip using cores , 2000, DAC.

[39]  Yuval Tamir,et al.  Dynamically-Allocated Multi-Queue Buffers for VLSI Communication Switches , 1992, IEEE Trans. Computers.

[40]  Luigi Carro,et al.  Efficient architecture for FPGA-based microcontrollers , 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).

[41]  Santanu Dutta,et al.  Viper: A Multiprocessor SOC for Advanced Set-Top Box and Digital TV Systems , 2001, IEEE Des. Test Comput..

[42]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[43]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[44]  Sujit Dey,et al.  An Interconnect Architecture for Networking Systems on Chips , 2002, IEEE Micro.

[45]  Anoop Gupta,et al.  Parallel computer architecture - a hardware / software approach , 1998 .

[46]  Paolo Giusto,et al.  A universal communication model for an automotive system integration platform , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[47]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[48]  Kai Hwang,et al.  Advanced computer architecture - parallelism, scalability, programmability , 1992 .

[49]  A. El Gamal,et al.  Architecture of field-programmable gate arrays , 1993, Proc. IEEE.

[50]  Alberto L. Sangiovanni-Vincentelli,et al.  System-level design: orthogonalization of concerns andplatform-based design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[51]  H. T. Kung,et al.  Supporting systolic and memory communication in iWarp , 1990, ISCA '90.

[52]  Russell Tessier,et al.  ASOC: a scalable, single-chip communications architecture , 2000, Proceedings 2000 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00622).

[53]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[54]  William J. Dally,et al.  The torus routing chip , 2005, Distributed Computing.

[55]  Kurt Keutzer,et al.  Network Processors: Origin of Species , 2002 .

[56]  Gert Goossens,et al.  Embedded software in real-time signal processing systems: application and architecture trends , 1997 .

[57]  D. Lenoski,et al.  The SGI Origin: A ccnuma Highly Scalable Server , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

[58]  Vivek Sarkar,et al.  Baring It All to Software: Raw Machines , 1997, Computer.

[59]  S. Konstantinidou,et al.  Chaos router: architecture and performance , 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

[60]  Arvind,et al.  Executing a Program on the MIT Tagged-Token Dataflow Architecture , 1990, IEEE Trans. Computers.

[61]  U. Ruckert,et al.  On-chip interconnects for next generation system-on-chips , 2002, 15th Annual IEEE International ASIC/SOC Conference.

[62]  Christopher R. Clare Designing logic systems using state machines , 1973 .

[63]  S. F. Nugent,et al.  The iPSC/2 direct-connect communications technology , 1988, C3P.

[64]  Farooq Ashraf,et al.  Introduction to routing in multicomputer networks , 1998, CARN.

[65]  Frank Vahid,et al.  Platform Tuning for Embedded Systems Design , 2001, Computer.

[66]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[67]  Yervant Zorian,et al.  Introducing Core-Based System Design , 1997, IEEE Des. Test Comput..

[68]  Lionel M. Ni,et al.  The turn model for adaptive routing , 1992, ISCA '92.

[69]  Jihong Kim,et al.  Performance analysis and tuning for a single-chip multiprocessor DSP , 1997, IEEE Concurrency.