A 4.4 pJ/Access 80 MHz, 128 kbit Variability Resilient SRAM With Multi-Sized Sense Amplifier Redundancy

An ultra low energy, 128 kbit 6T SRAM in 90 nm LP CMOS with energy consumption of 4.4 pJ/access, operating at 80 MHz for the wireless sensor applications is developed. The variability resilient and low power techniques developed include innovation in the local architecture with the use of local read/write assist circuitry. The energy-efficient hierarchical bit-lines structure includes low swing global bit-lines and VDD/2 pre-charged short local bit-lines. The innovative Multi-Sized SA redundancy (MS-SA-R) calibration technique for the global read sense amplifiers of the SRAM not only adds to the variability resilience but also yields maximum energy reduction compared with existing calibration techniques.

[1]  T. Sakurai,et al.  90% write power-saving SRAM using sense-amplifying memory cell , 2004, IEEE Journal of Solid-State Circuits.

[2]  Lee-Sup Kim,et al.  A low-power SRAM using hierarchical bit line and local sense amplifiers , 2005, IEEE J. Solid State Circuits.

[3]  Manish Verma,et al.  Advanced memory optimization techniques for low-power embedded processors , 2005, Ausgezeichnete Informatikdissertationen.

[4]  A.P. Chandrakasan,et al.  A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.

[5]  Hirofumi Shinohara,et al.  0.5-V, 150-MHz, bulk-CMOS SRAM with suspended bit-line read scheme , 2010, 2010 Proceedings of ESSCIRC.

[6]  Francky Catthoor,et al.  A 4.4pJ/access 80MHz, 2K word } 64b memory with write masking feature and variability resilient multi-sized sense amplifier redundancy for wireless sensor nodes applications , 2010, 2010 Proceedings of ESSCIRC.

[7]  G. Declerck A look into the future of nanoelectronics , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[8]  Ron Ho,et al.  Low-power SRAM design using half-swing pulse-mode techniques , 1998, IEEE J. Solid State Circuits.

[9]  J. Meindl,et al.  The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.

[10]  Georges G. E. Gielen,et al.  Analog and digital circuit design in 65 nm CMOS: end of the road? , 2005, Design, Automation and Test in Europe.

[11]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[12]  A.P. Chandrakasan,et al.  A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.

[13]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[14]  W. Dehaene,et al.  A 3.6 pJ/Access 480 MHz, 128 kb On-Chip SRAM With 850 MHz Boost Mode in 90 nm CMOS With Tunable Sense Amplifiers , 2009, IEEE Journal of Solid-State Circuits.

[15]  Keejong Kim,et al.  A low-power SRAM using bit-line charge-recycling technique , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[16]  R.H. Dennard,et al.  An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.

[17]  Koji Nii,et al.  A 45nm Low-Standby-Power Embedded SRAM with Improved Immunity Against Process and Temperature Variations , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[18]  H. Shinohara,et al.  A 64Kb full CMOS RAM with divided word line structure , 1983, 1983 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[19]  Meng-Fan Chang,et al.  A Large $\sigma $V$_{\rm TH}$/VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme , 2011, IEEE Journal of Solid-State Circuits.

[20]  C. Toumazou,et al.  A 126-/spl mu/W cochlear chip for a totally implantable system , 2005, IEEE Journal of Solid-State Circuits.

[21]  T. Sasaki,et al.  A 0.7 V Single-Supply SRAM With 0.495 $\mu$m$^{2}$ Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme , 2009, IEEE Journal of Solid-State Circuits.

[22]  Masahiro Nomura,et al.  A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications , 2006, IEEE Journal of Solid-State Circuits.

[23]  Kaushik Roy,et al.  A Low-Power SRAM Using Bit-Line Charge-Recycling , 2008, IEEE Journal of Solid-State Circuits.

[24]  Meng-Fan Chang,et al.  A large σVTH/VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme , 2010, 2010 Symposium on VLSI Circuits.

[25]  Paolo Fiorini,et al.  Human++: autonomous wireless sensors for body area networks , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[26]  Anantha P. Chandrakasan,et al.  A 45nm 0.5V 8T column-interleaved SRAM with on-chip reference selection loop for sense-amplifier , 2009, 2009 IEEE Asian Solid-State Circuits Conference.

[27]  Hugo De Man,et al.  A systematic methodology for the application of data transfer and storage optimizing code transformations for power consumption and execution time reduction in realizations of multimedia algorithms on programmable processors , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[28]  Mladen Berekovic,et al.  Ultra Low Power ASIP Design for Wireless Sensor Nodes , 2007, 2007 14th IEEE International Conference on Electronics, Circuits and Systems.

[29]  Wim Dehaene,et al.  A Low Power Embedded SRAM for Wireless Applications , 2006 .

[30]  W. Dehaene,et al.  Embedded SRAM design in deep deep submicron technologies , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.