Holistic approach using accuracy of diffraction-based integrated metrology to improve on-product performance, reduce cycle time, and cost at litho

High-end semiconductor lithography requirements for CD, focus and overlay control drive the need for diffraction-based metrology1,2,3,4 and integrated metrology5. In the advanced nodes, more complex lithography techniques (such as multiple patterning), use of multi-layer overlay measurements in process control, advanced device designs (such as advanced FinFET), as well as advanced materials (like hardmasks) are introduced. These pose new challenges for lithometro cycle time, cost, process control and metrology accuracy. In this publication a holistic approach is taken to face these challenges via a novel target design, a brand new implementation of multi-layer overlay measurement capability in diffraction-based mode and integrated metrology.

[1]  Reinder Plug,et al.  Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies (incl. FinFET) , 2014, Advanced Lithography.

[2]  Albert Li,et al.  Litho process control via optimum metrology sampling while providing cycle time reduction and faster metrology-to-litho turn around time , 2011, Advanced Lithography.

[3]  Jan Mulkens,et al.  High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements , 2013, Advanced Lithography.

[4]  Arie den Boef,et al.  Evaluation of a novel ultra small target technology supporting on-product overlay measurements , 2012, Advanced Lithography.

[5]  Jacky Huang,et al.  Accuracy of diffraction-based and image-based overlay , 2011, Advanced Lithography.

[6]  Peter M. C. Lee,et al.  Tighter process control of poly- and active-to-contact overlay registration via multilayer analysis , 2000, Advanced Lithography.

[7]  Anthony Yen,et al.  Overlay similarity: a new overlay index for metrology tool and scanner overlay fingerprint methodology , 2009, Advanced Lithography.

[8]  Arie den Boef,et al.  Advanced litho-cluster control via integrated in-chip metrology , 2013, ASMC 2013 SEMI Advanced Semiconductor Manufacturing Conference.

[9]  Hugo Cramer,et al.  Integrated scatterometry for tight overlay and CD control to enable 20-nm node wafer manufacturing. , 2013, Advanced Lithography.

[10]  Arie den Boef,et al.  On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel corrections , 2013, Advanced Lithography.

[11]  Noelle Wright,et al.  Evaluation of a new metrology technique to support the needs of accuracy, precision, speed, and sophistication in near-future lithography , 2009, Advanced Lithography.

[12]  Noelle Wright,et al.  New approaches for scatterometry-based metrology for critical distance and overlay measurement and process control , 2011 .