CharTM: The dynamic stability characterization for memory based on tail distribution modeling

[1]  Liang Pang,et al.  An efficient SRAM yield analysis method based on scaled-sigma adaptive importance sampling with meta-model accelerated , 2022, Integr..

[2]  Longxing Shi,et al.  A Compact High-Dimensional Yield Analysis Method using Low-Rank Tensor Approximation , 2021, ACM Trans. Design Autom. Electr. Syst..

[3]  Wuhua Li,et al.  An Open-circuit Fault Detection and Location Strategy for MMC with Feature Extraction and Random Forest , 2021, 2021 IEEE Applied Power Electronics Conference and Exposition (APEC).

[4]  Benton H. Calhoun,et al.  Dynamic Read VMIN and Yield Estimation for Nanoscale SRAMs , 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

[5]  Hafizur Rahaman,et al.  A Statistical Approach of Analog Circuit Fault Detection Utilizing Kolmogorov–Smirnov Test Method , 2020, Circuits, Systems, and Signal Processing.

[6]  Jun Yang,et al.  TS Cache: A Fast Cache With Timing-Speculation Mechanism Under Low Supply Voltages , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Waleed Khalil,et al.  Analysis of SRAM Enhancements Through Sense Amplifier Capacitive Offset Correction and Replica Self-Timing , 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

[8]  Longxing Shi,et al.  A Double Sensing Scheme With Selective Bitline Voltage Regulation for Ultralow-Voltage Timing Speculative SRAM , 2018, IEEE Journal of Solid-State Circuits.

[9]  Jun Yang,et al.  A Fast and Robust Failure Analysis of Memory Circuits Using Adaptive Importance Sampling Method , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[10]  Sujata Pandey,et al.  A boosted negative bit-line SRAM with write-assisted cell in 45 nm CMOS technology , 2018 .

[11]  Brian B. Goldman,et al.  Understanding covariate shift in model performance , 2016, F1000Research.

[12]  Xin Li,et al.  Fast statistical analysis of rare circuit failure events via subset simulation in high-dimensional variation space , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[13]  Wei Wu,et al.  A fast and provably bounded failure analysis of memory circuits in high dimensions , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[14]  Massimo Alioto,et al.  Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[15]  Po-Chiun Huang,et al.  An Integrated Linear Regulator With Fast Output Voltage Transition for Dual-Supply SRAMs in DVFS Systems , 2010, IEEE Journal of Solid-State Circuits.

[16]  Jie Gu,et al.  Non-linear Operating Point Statistical Analysis for Local Variations in logic timing at low voltage , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[17]  Yehea I. Ismail,et al.  SRAM dynamic stability estimation using MPFP and its applications , 2009, Microelectron. J..

[18]  Atsushi Kawasumi,et al.  A process-variation-tolerant dual-power-supply SRAM with 0.179µm2 Cell in 40nm CMOS using level-programmable wordline driver , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[19]  Wei Dong,et al.  SRAM dynamic stability: Theory, variability and analysis , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[20]  D. Shah,et al.  Breaking the simulation barrier: SRAM evaluation through norm minimization , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[21]  Rob A. Rutenbar,et al.  Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[22]  Rob A. Rutenbar,et al.  Statistical Blockade: A Novel Method for Very Fast Monte Carlo Simulation of Rare Circuit Events, and its Application , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[23]  Rouwaida Kanj,et al.  Statistical Exploration of the Dual Supply Voltage Space of a 65nm PD/SOI CMOS SRAM Cell , 2006, 2006 European Solid-State Device Research Conference.

[24]  J. H. Zar,et al.  Spearman Rank Correlation , 2005 .

[25]  H. Rabitz,et al.  General foundations of high‐dimensional model representations , 1999 .

[26]  M. Stein Large sample properties of simulations using latin hypercube sampling , 1987 .

[27]  Alan H. Welsh,et al.  Adaptive Estimates of Parameters of Regular Variation , 1985 .

[28]  Santosh Kumar Vishvakarma,et al.  Design and investigation of variability aware sense amplifier for low power, high speed SRAM , 2017, Microelectron. J..

[29]  Carl Scarrott,et al.  A Review of Extreme Value Threshold Estimation and Uncertainty Quantification , 2012 .

[30]  Ching-Te Chuang,et al.  SRAM Write-Ability Improvement With Transient Negative Bit-Line Voltage , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[31]  W. Cleveland,et al.  Locally Weighted Regression: An Approach to Regression Analysis by Local Fitting , 1988 .

[32]  Ilya M. Sobol,et al.  Sensitivity Estimates for Nonlinear Mathematical Models , 1993 .