Impact and mitigation of SRAM read path aging

Abstract This paper proposes an appropriate method to estimate and mitigate the impact of aging on the read path of a high performance SRAM design; it analyzes the impact of the memory cell, and sense amplifier (SA), and their interaction. The method considers different workloads, technology nodes, and inspects both the bit-line swing (BLS) (which reflect the degradation of the cell) and the sensing delay (SD) (which reflects the degradation of the sense amplifier); the voltage swing on the bit lines has a direct impact on the proper functionality of the sense amplifier. The results with respect to the quantification of the aging, show for the considered SRAM read-path design that the cell degradation is marginal as compared to the sense amplifier, while the SD degradation strongly depends on the workload, supply voltage, temperature, and technology nodes (up to 41% degradation). The mitigation schemes, one targeting the cell and one the sense amplifier, confirm the same and show that sense amplifier mitigation (up to 15.2% improvement) is more effective for the SRAM read path than cell mitigation (up to 11.4% improvement).

[1]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[2]  Francky Catthoor,et al.  Bias Temperature Instability analysis of FinFET based SRAM cells , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[3]  Said Hamdioui,et al.  Integral Impact of BTI, PVT Variation, and Workload on SRAM Sense Amplifier , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Francky Catthoor,et al.  Comparison of Reaction-Diffusion and Atomistic Trap-Based BTI Models for Logic Gates , 2014, IEEE Transactions on Device and Materials Reliability.

[5]  C. Cabral,et al.  A Comparative Study of NBTI and PBTI (Charge Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[6]  Francky Catthoor,et al.  Mitigation of sense amplifier degradation using input switching , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[7]  N. Collaert,et al.  Disorder-controlled-kinetics model for negative bias temperature instability and its experimental verification , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[8]  Francky Catthoor,et al.  Read path degradation analysis in SRAM , 2016, 2016 21th IEEE European Test Symposium (ETS).

[9]  Antonio Rubio,et al.  Process variability-aware proactive reconfiguration technique for mitigating aging effects in nano scale SRAM lifetime , 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

[10]  B. Kaczer,et al.  Analytic modeling of the bias temperature instability using capture/emission time maps , 2011, 2011 International Electron Devices Meeting.

[11]  A. Carlson Mechanism of Increase in SRAM $V_{\min}$ Due to Negative-Bias Temperature Instability , 2007, IEEE Transactions on Device and Materials Reliability.

[12]  Francky Catthoor,et al.  Integral impact of BTI and voltage temperature variation on SRAM sense amplifier , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

[13]  Mehdi Baradaran Tahoori,et al.  Aging mitigation in memory arrays using self-controlled bit-flipping technique , 2015, The 20th Asia and South Pacific Design Automation Conference.

[14]  T. Grasser,et al.  Defect-based methodology for workload-dependent circuit lifetime projections - Application to SRAM , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[15]  Sachin S. Sapatnekar,et al.  Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[16]  Shekhar Y. Borkar Microarchitecture and Design Challenges for Gigascale Integration , 2004, MICRO.

[17]  Muhammad Ashraful Alam,et al.  A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..

[18]  Ching-Te Chuang,et al.  Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability , 2009, Microelectron. Reliab..

[19]  G. Groeseneken,et al.  Atomistic approach to variability of bias-temperature instability in circuit simulations , 2011, 2011 International Reliability Physics Symposium.

[20]  Michael Nicolaidis,et al.  Reliability challenges of real-time systems in forthcoming technology nodes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[21]  Francky Catthoor,et al.  Atomistic Pseudo-Transient BTI Simulation With Inherent Workload Memory , 2014, IEEE Transactions on Device and Materials Reliability.

[22]  G. Groeseneken,et al.  Time and workload dependent device variability in circuit simulations , 2011, 2011 IEEE International Conference on IC Design & Technology.

[23]  Francky Catthoor,et al.  Comparative BTI analysis for various sense amplifier designs , 2016, 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

[24]  Francky Catthoor,et al.  Quantification of Sense Amplifier Offset Voltage Degradation due to Zero-and Run-Time Variability , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[25]  Hamid Mahmoodi,et al.  Impact of transistor aging effects on sense amplifier reliability in nano-scale CMOS , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[26]  Xiaojun Li,et al.  SRAM circuit-failure modeling and reliability simulation with SPICE , 2006, IEEE Transactions on Device and Materials Reliability.