Fine-Grain Voltage Tuned Cache Architecture for Yield Management Under Process Variations
暂无分享,去创建一个
Sung Woo Chung | Gokhan Memik | Yan Pan | Joonho Kong | Serkan Ozdemir | Anitha Mohan | G. Memik | J. Kong | Yan Pan | S. Ozdemir | Anith Mohan | S. Chung
[1] Vernon L. Chi. Salphasic Distribution of Clock Signals for Synchronous Systems , 1994, IEEE Trans. Computers.
[2] Guan-Chyun Hsieh,et al. Phase-locked loop techniques. A survey , 1996, IEEE Trans. Ind. Electron..
[3] Kaushik Roy,et al. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.
[4] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[5] Doug Burger,et al. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches , 2002, ASPLOS X.
[6] T. Chen,et al. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[7] Doug Burger,et al. Exploiting microarchitectural redundancy for defect tolerance , 2003, Proceedings 21st International Conference on Computer Design.
[8] Andreas Moshovos,et al. Low-leakage asymmetric-cell SRAM , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[9] Frank O'Mahony,et al. A 10-GHz global clock distribution using coupled standing-wave oscillators , 2003 .
[10] T.Y. Nguyen,et al. Resonant clocking using distributed parasitic capacitance , 2004, IEEE Journal of Solid-State Circuits.
[11] H. Nayfeh,et al. Advanced gate stacks with fully silicided (FUSI) gates and high-/spl kappa/ dielectrics: enhanced performance at reduced gate leakage , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[12] Keith A. Bowman,et al. Variation-tolerant circuits: circuit solutions and techniques , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[13] Kaushik Roy,et al. A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[14] Ke Meng,et al. Process Variation Aware Cache Leakage Management , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[15] Baris Taskin,et al. Timing-Driven Physical Design for VLSI Circuits Using Resonant Rotary Clocking , 2006, 2006 49th IEEE International Midwest Symposium on Circuits and Systems.
[16] Hai Zhou,et al. Yield-Aware Cache Architectures , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[17] C.H. Kim,et al. PVT-aware leakage reduction for on-die caches with improved read stability , 2005, IEEE Journal of Solid-State Circuits.
[18] Jiang Hu,et al. Integrated Placement and Skew Optimization for Rotary Clocking , 2006 .
[19] Hyunjin Lee,et al. Exploring the interplay of yield, area, and performance in processor caches , 2007, 2007 25th International Conference on Computer Design.
[20] Abhishek Das,et al. Microarchitectures for Managing Chip Revenues under Process Variations , 2008, IEEE Comput. Archit. Lett..
[21] Sunil P. Khatri,et al. Clock Distribution Scheme using Coplanar Transmission Lines , 2008, 2008 Design, Automation and Test in Europe.
[22] Jacob A. Abraham,et al. Adaptive SRAM memory for low power and high yield , 2008, 2008 IEEE International Conference on Computer Design.
[23] Vinayak Honkote,et al. Custom rotary clock router , 2008, 2008 IEEE International Conference on Computer Design.
[24] Sule Ozev,et al. Reducing the impact of intra-core process variability with criticality-based resource allocation and prefetching , 2008, CF '08.
[25] Tadayuki Matsumura,et al. Cache Power Reduction in Presence of Within-Die Delay Variation Using Spare Ways , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.
[26] Yong-Gee Ng,et al. A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology , 2009, IEEE Journal of Solid-State Circuits.
[27] Avesta Sasan,et al. Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[28] Mahmut T. Kandemir,et al. Process-Variation-Aware Adaptive Cache Architecture and Management , 2009, IEEE Transactions on Computers.
[29] Sung Woo Chung,et al. Selective wordline voltage boosting for caches to manage yield under process variations , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[30] David Blaauw,et al. Yield-Driven Near-Threshold SRAM Design , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[31] Vinayak Honkote,et al. CROA: Design and Analysis of the Custom Rotary Oscillatory Array , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.