Accurate X-Propagation for Test Applications by SAT-Based Reasoning

Unknown or X-values during test applications may originate from uncontrolled sequential cells or macros, from clock or A/D boundaries, or from tristate logic. The exact identification of X-value propagation paths in logic circuits is crucial in logic simulation and fault simulation. In the first case, it enables the proper assessment of expected responses and the effective and efficient handling of X-values during test response compaction. In the second case, it is important for a proper assessment of fault coverage of a given test set and consequently influences the efficiency of test pattern generation. The commonly employed n-valued logic simulation evaluates the propagation of X-values only pessimistically, i.e., the X-propagation paths found by n -valued logic simulation are a superset of the actual propagation paths. This paper presents an efficient method for overcoming this pessimism and for determining accurately the set of signals that carry an X-value for an input pattern. As examples, it investigates the influence of this pessimism on the two applications, X-masking and stuck-at fault coverage assessment. The experimental results on benchmark and industrial circuits assess the pessimism of classic algorithms and show that these algorithms significantly overestimate the signals with X-values. The experiments show that overmasking of test data during test compression can be reduced by an accurate analysis. In stuck-at fault simulation, the coverage of the test set is increased by the proposed algorithm without incurring any overhead.

[1]  Huawei Li,et al.  Theoretic analysis and enhanced x-tolerance of test response compact based on convolutional code , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[2]  Barry K. Rosen,et al.  Restricted symbolic evaluation is fast and useful , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[3]  Leendert M. Huisman,et al.  Symbolic implication in test generation , 1991, Proceedings of the European Conference on Design Automation..

[4]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[5]  Irith Pomeranz,et al.  On-chip compression of output responses with unknown values using lfsr reseeding , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[6]  Randal E. Bryant,et al.  Test Pattern Generation for Sequential MOS Circuits by Symbolic Fault Simulation , 1989, 26th ACM/IEEE Design Automation Conference.

[7]  Nur A. Touba,et al.  Increasing Output Compaction in Presence of Unknowns Using an X-Canceling MISR with Deterministic Observation , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[8]  S.M. Reddy,et al.  Enhanced 3-valued logic/fault simulation for full scan circuits using implicit logic values , 2004, Proceedings. Ninth IEEE European Test Symposium, 2004. ETS 2004..

[9]  Janak H. Patel,et al.  Accurate logic simulation in the presence of unknowns , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[10]  Walter Geisselhardt,et al.  New methods for parallel pattern fast fault simulation for synchronous sequential circuits , 1993, ICCAD.

[11]  Kwang-Ting Cheng,et al.  Response shaper: a novel technique to enhance unknown tolerance for output response compaction , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[12]  Bernd Becker,et al.  X-masking during logic BIST and its impact on defect coverage , 2006, IEEE Trans. Very Large Scale Integr. Syst..

[13]  Kurt Antreich,et al.  Accelerated Fault Simulation and Fault Grading in Combinational Circuits , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Peter Wohl,et al.  Fully X-tolerant combinational scan compression , 2007, 2007 IEEE International Test Conference.

[15]  Bernd Becker,et al.  Hybrid Fault Simulation for Synchronous Sequential Circuits , 1999, J. Electron. Test..

[16]  Michael H. Schulz,et al.  SOCRATES: a highly efficient automatic test pattern generation system , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[17]  Nilanjan Mukherjee,et al.  X-Press: Two-Stage X-Tolerant Compactor With Programmable Selector , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Vivek Chickermane,et al.  Channel masking synthesis for efficient on-chip test compression , 2004, 2004 International Conferce on Test.

[19]  Dong Sam Ha,et al.  AN EFFICIENT, FORWARD FAULT SIMULATION ALGORITHM BASED ON THE PARALLEL PATTERN SINGLE FAULT PROPAGAT , 1991, 1991, Proceedings. International Test Conference.

[20]  Irith Pomeranz,et al.  On efficient X-handling using a selective compaction scheme to achieve high test response compaction ratios , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

[21]  Michael S. Hsiao,et al.  On efficient error diagnosis of digital circuits , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[22]  Janusz Rajski,et al.  Diagnosis with convolutional compactors in presence of unknown states , 2005, IEEE International Conference on Test, 2005..

[23]  Sy-Yen Kuo,et al.  Accurately Handle Don't-Care Conditions in High-Level Designs and Application for Reducing Initialized Registers , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Sofia Cassel,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 2012 .

[25]  Sungho Kang,et al.  Accurate Logic Simulation by Overcoming the Unknown Value Propagation Problem , 2003, Simul..

[26]  Michael Gössel,et al.  Masking of X-Values by Use of a Hierarchically Configurable Register , 2011, J. Electron. Test..

[27]  Michael S. Hsiao,et al.  Testing, verification, and diagnosis in the presence of unknowns , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[28]  Chris Wilson,et al.  Symbolic Simulation with Approximate Values , 2000, FMCAD.

[29]  Janak H. Patel,et al.  New Techniques for Deterministic Test Pattern Generation , 1999, J. Electron. Test..

[30]  Wu-Tung Cheng,et al.  X-filter: filtering unknowns from compacted test responses , 2005, IEEE International Conference on Test, 2005..

[31]  Sudhakar M. Reddy,et al.  Finite memory test response compactors for embedded test applications , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[32]  Daniel Le Berre,et al.  The Sat4j library, release 2.2 , 2010, J. Satisf. Boolean Model. Comput..

[33]  Hans-Joachim Wunderlich,et al.  SAT-based fault coverage evaluation in the presence of unknown values , 2011, 2011 Design, Automation & Test in Europe.

[34]  Nilanjan Mukherjee,et al.  X-Press Compactor for 1000x Reduction of Test Data , 2006, 2006 IEEE International Test Conference.

[35]  Seh-Woong Jeong,et al.  Exact calculation of synchronization sequences based on binary decision diagrams , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[36]  Bernd Becker,et al.  Checking equivalence for partial implementations , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[37]  Ernst G. Ulrich,et al.  Concurrent simulation of nearly identical digital networks , 1973, Computer.

[38]  Subhasish Mitra,et al.  X-compact: an efficient response compaction technique , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[39]  Janusz Rajski,et al.  Modular compactor of test responses , 2006, 24th IEEE VLSI Test Symposium.

[40]  Seh-Woong Jeong,et al.  Synchronizing sequences and symbolic traversal techniques in test generation , 1993, J. Electron. Test..

[41]  Hans-Joachim Wunderlich,et al.  On Determining the Real Output Xs by SAT-Based Reasoning , 2010, 2010 19th IEEE Asian Test Symposium.

[42]  Premachandran R. Menon,et al.  Logic optimization and equivalence checking by implication analysis , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..