Fundamental study of extreme UV resist line edge roughness: Characterization, experiment, and modeling

Mitigation of line edge roughness (LER) remains a significant practical issue for extreme ultraviolet (EUV) resist performance at 22 nm dimensions and below. The authors have applied a suite of experimental characterization techniques and simulation methods to a set of model EUV resists with the aim of strengthening fundamental understanding of the nature and origins of LER. The influence of resist film composition on LER has been evaluated for positive-tone chemically amplified polymer and NORIA molecular glass resists, and has been correlated the effects with surface roughness on resist films exposed at doses comparable to those at the image line edge. The effect of developer type on LER has been characterized using two distinct developer compositions that provide aqueous base positive-tone and organic solvent negative-tone processes. The time evolution of image roughness during pattern development has been visualized using interrupted development in a flow cell configuration that halts the dissolution ...

[1]  Steve Plimpton,et al.  Fast parallel algorithms for short-range molecular dynamics , 1993 .

[2]  Martha I. Sanchez,et al.  Deep-ultraviolet interferometric lithography as a tool for assessment of chemically amplified photoresist performance , 1998 .

[3]  F. Cerrina,et al.  Process dependence of roughness in a positive-tone chemically amplified resist , 1998 .

[4]  James W. Taylor,et al.  Factors contributing to sidewall roughness in a positive-tone, chemically amplified resist exposed by x-ray lithography , 1999 .

[5]  Martha I. Sanchez,et al.  Kinetic Model for Positive Tone Resist Dissolution and Roughening , 2002 .

[6]  Martha I. Sanchez,et al.  Extendibility of chemically amplified resists: another brick wall? , 2003, SPIE Advanced Lithography.

[7]  Jonathan L. Cobb,et al.  Current Status of EUV Photoresists , 2003 .

[8]  Jonathan L. Cobb,et al.  Statistical limitations of printing 50 and 80 nm contact holes by EUV lithography , 2003 .

[9]  Gregg M. Gallatin,et al.  Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes , 2004 .

[10]  Roger F. Sinta,et al.  Deconstructing the resist to probe innate material roughness , 2006, SPIE Advanced Lithography.

[11]  Harry J. Levinson,et al.  Line edge roughness impact on critical dimension variation , 2007, SPIE Advanced Lithography.

[12]  Patrick P. Naulleau,et al.  Spatial scaling metrics of mask-induced line-edge roughness , 2008 .

[13]  P. Faurie,et al.  The LER/LWR metrology challenge for advance process control through 3D-AFM and CD-SEM , 2009, Lithography Asia.

[14]  C. W. Hagen,et al.  Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art , 2009, Nanotechnology.

[15]  Chris A. Mack Stochastic approach to modeling photoresist developmenta) , 2009 .

[16]  Julius Joseph Santillan,et al.  Alternative developer solutions for extreme ultraviolet resist , 2009 .

[17]  Vivek M. Prabhu,et al.  Architectural Effects on Acid Reaction-Diffusion Kinetics in Molecular Glass Photoresists , 2010 .

[18]  Roel Gronheid Impact of development chemistry on extreme ultraviolet resist performance , 2010 .

[19]  Joseph Kennedy,et al.  Characterization of line-edge roughness (LER) propagation from resists: underlayer interfaces in ultrathin resist films , 2010, Advanced Lithography.

[20]  Linda K. Sundberg,et al.  A method to characterize pattern density effects: chemical flare and develop loading , 2010, Advanced Lithography.

[21]  Julius Joseph Santillan,et al.  In situ Characterization of Photoresist Dissolution , 2010 .

[22]  Patrick Naulleau EUV Resists: Illuminating the challenges , 2011 .

[23]  Yueming Hua,et al.  New three-dimensional AFM for CD measurement and sidewall characterization , 2011, Advanced Lithography.

[24]  Alan C. Thomas,et al.  Assessment of negative tone development challenges , 2012, Other Conferences.