Timing modeling and optimization under the transmission line model

As the operating frequency increases to gigahertz and the rise time of a signal is less than or comparable to the time-of-flight delay of a wire, it is necessary to consider the transmission line behavior for delay computation. We present in this paper, an analytical formula for the delay computation under the transmission line model. Extensive simulations with SPICE show the high fidelity of the formula. Compared with previous works, our model leads to smaller average errors in delay estimation. Based on this formula, we show the property that the minimum delay for a transmission line with reflection occurs when the number of round trips is minimized (i.e., equals one). Besides, we show that the delay of a circuit path is a posynomial function in wire and buffer sizes, implying that a local optimum is equal to the global optimum. Thus, we can apply any efficient search algorithm such as the well-known gradient search procedure to compute the globally optimal solution. Experimental results show that simultaneous wire and buffer sizing is very effective for performance optimization under the transmission line model.

[1]  Martin D. F. Wong,et al.  A polynomial time optimal algorithm for simultaneous buffer and wire sizing , 1998, Proceedings Design, Automation and Test in Europe.

[2]  Kenneth L. Shepard,et al.  Full-chip, three-dimensional shapes-based RLC extraction , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Yao-Wen Chang,et al.  Noise-constrained performance optimization by simultaneous gate and wire sizing based on Lagrangian relaxation , 1999, DAC '99.

[4]  Qing Zhu,et al.  High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Martin D. F. Wong,et al.  Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[6]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[7]  Tao Lin,et al.  RC(L) interconnect sizing with second order considerations via posynomial programming , 2001, ISPD '01.

[8]  Yehea I. Ismail,et al.  Effects of inductance on the propagation delay and repeater insertion in VLSI circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[9]  Charlie Chung-Ping Chen,et al.  Optimal wire-sizing formula under the Elmore delay model , 1996, DAC '96.

[10]  A. Richard Newton,et al.  Algorithms for the transient simulation of lossy interconnect , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[11]  Paul Penfield,et al.  Signal Delay in RC Tree Networks , 1981, 18th Design Automation Conference.

[12]  Wayne Wolf Modern VLSI Design: Systems on Silicon , 1998 .

[13]  Martin D. F. Wong,et al.  Wire-sizing for delay minimization and ringing control using transmission line model , 2000, DATE '00.

[14]  Lawrence T. Pileggi,et al.  Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Clarence Zener,et al.  Geometric Programming : Theory and Application , 1967 .

[16]  R. Schaller,et al.  Technological innovation in the semiconductor industry: A case study of the International Technology Roadmap for Semiconductors (ITRS) , 2001, PICMET '01. Portland International Conference on Management of Engineering and Technology. Proceedings Vol.1: Book of Summaries (IEEE Cat. No.01CH37199).

[17]  D. F. Wong,et al.  Shaping a VLSI wire to minimize delay using transmission line model , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[18]  Wayne Wei-Ming Dai,et al.  Optimal design of self-damped lossy transmission lines for multichip modules , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[19]  Keith A. Jenkins,et al.  When are transmission-line effects important for on-chip interconnections? , 1997 .

[20]  Ernest S. Kuh,et al.  Moment models of general transmission lines with application to interconnect analysis and optimization , 1996, IEEE Trans. Very Large Scale Integr. Syst..

[21]  Miquel Roca,et al.  Inductance in VLSI interconnection modelling , 1998 .

[22]  Larry Pileggi,et al.  Modeling lossy transmission lines using the method of characteristics , 1996 .

[23]  Ernest S. Kuh,et al.  Exact moment matching model of transmission lines and application to interconnect delay estimation , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[24]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[25]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[26]  R. A. Rohrer,et al.  Interconnect optimization using asymptotic waveform evaluation (AWE) , 1994, Proceedings of IEEE Multi-Chip Module Conference (MCMC-94).

[27]  Eugene Shragowitz,et al.  Overshoot and undershoot control for transmission line interconnects , 1999, 1999 Proceedings. 49th Electronic Components and Technology Conference (Cat. No.99CH36299).

[28]  Ernest S. Kuh,et al.  A sensitivity-based wiresizing approach to interconnect optimization of lossy transmission line topologies , 1996, Proceedings 1996 IEEE Multi-Chip Module Conference (Cat. No.96CH35893).